简易洗衣机控制电路(完美版)..

简易洗衣机控制电路(完美版)..
简易洗衣机控制电路(完美版)..

1 Proteus 软件简介

1.1概述

Proteus软件是英国Labcenter electronics公司出版的EDA 工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA 工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus 是世界上著名的EDA 工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB 设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB 设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、

PIC10/12/16/18/24/30/DsPIC33、AVR、ARM 、8086 和MSP430等,2010年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR 、Keil 和MPLAB 等多种编译器。

1.2具有四大功能模块:

1.2.1智能原理图设计( ISIS)丰富的器件库:超过27000 种元器件,可方便地创建新元件;智能的器件搜索:通过模糊搜索可以快速定位所需要的器件;智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间;支持总线结构:使用总线器件和总线布线使电路设计简明清晰;输出高质量图纸:通过个性化设置,可以生成印刷质量的BMP 图纸,可以方便地供WORD、POWERPOINT 等多种文档使用。

1.2.2完善的电路仿真功能( Prospice)

Prospice混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真;超过27000 个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件;多样的激励源:包括直流、正弦、脉冲、分段线性脉冲、音频(使用wav 文件)、

指数信号、单频FM 、数字时钟和码流,还支持文件形式的信号输入;

丰富的虚拟仪器:13 种虚拟仪器,面板操作逼真,如示波器、逻辑分析仪、信号发生器、直流电压/电流表、交流电压/电流表、数字图案发生器、频率计/计数器、逻辑探头、虚拟终端、SPI调试器、I2C 调试器等;

生动的仿真显示:用色点显示引脚的数字电平,导线以不同颜色表示其对地电压大小,结合动态器件(如电机、显示器件、按钮)的使用可以使仿真更加直观、生动;

高级图形仿真功能(ASF):基于图标的分析可以精确分析电路的多项指标,包括工作点、瞬态特性、频率特性、传输特性、噪声、失真、傅立叶频谱分析等,还可以进行一致性分析;

1.2.3独特的单片机协同仿真功能( VSM )

支持主流的CPU 类型:如ARM7 、8051/52、AVR、PIC10/12、PIC16、

PIC18、PIC24、dsPIC33、HC11、BasicStamp、8086、MSP430等,CPU 类型随着版本升级还在继续增加,如即将支持CORTEX、DSP 处理器;

支持通用外设模型:如字符LCD 模块、图形LCD 模块、LED 点阵、LED 七段显示模块、键盘/按键、直流/步进/伺服电机、RS232虚拟终端、电子温度计等等,其COMPIM(COM 口物理接口模型)还可以使仿真电路通过PC 机串口和外部电路实现双向异步串行通信;

实时仿真:支持UART/USART/EUSARTs 仿真、中断仿真、SPI/I2C 仿真、MSSP 仿真、PSP仿真、RTC仿真、ADC 仿真、CCP/ECCP仿真;

编译及调试:支持单片机汇编语言的编辑/编译/源码级仿真,内带8051、AVR、PIC 的汇编编译器,也可以与第三方集成编译环境(如IAR 、Keil 和Hitech)结合,进行高级语言的源码级仿真和调试;

1.2.4实用的 PCB 设计平台

原理图到PCB 的快速通道:原理图设计完成后,一键便可进入ARES 的PCB 设计环境,实现从概念到产品的完整设计;

先进的自动布局/布线功能:支持器件的自动/人工布局;支持无网格自动布线或人工布线;支持引脚交换/门交换功能使PCB 设计更为合理;

完整的PCB 设计功能:最多可设计16个铜箔层, 2 个丝印层,4个机械层(含板边),灵活的布线策略供用户设置,自动设计规则检查,3D 可视化预览;多种输出格式的支持:可以输出多种格式文件,包括Gerber 文件的导入或导出,便利与其它PCB 设计工具的互转(如Protel)和PCB 板的设计和加工。

1.3 Proteus 提供丰富的功能块

1.3.1P roteus可提供的仿真元器件资源

仿真数字和模拟、交流和直流等数千种元器件,有30 多个元件库。

1.3.2P roteus可提供的仿真仪表资源

示波器、逻辑分析仪、虚拟终端、SPI调试器、I2C调试器、信号发生器、模式发生器、交直流电压表、交直流电流表。理论上同一种仪器可以在一个电路中随意的调用。

1.3.3其他功能

除了现实存在的仪器外,Proteus还提供了一个图形显示功能,可以将线路上变化的信号,以图形的方式实时地显示出来,其作用与示波器相似,但功能更多。这些虚拟仪器仪表具有理想的参数指标,例如极高的输入阻抗、极低的输出阻抗。这些都尽可能减少了仪器对测量结果的影响。

1.3.4P roteus 可提供的调试手段

Proteus提供了比较丰富的测试信号用于电路的测试。这些测试信号包括模拟信号和数字信号。

2 设计思路

2.1设计总体思路

从课程设计要求来看,要求实现电机的正传、反转、暂停,实际上没又电机给我们接上,这回要用四个LED 灯的状态来表示,当显示时间前20秒正传、暂停10 秒、反转20 秒、再暂停10 秒,如此一来,周期恰好是60秒,理所当然的分钟计数器、秒计数器是一定要有的。接下来脉冲是一定的了,但是有分钟计数器和秒钟计数器还要考虑是不是要60 分频器,就我们所学过的来说实现循环有移位寄存器;还有个问题,当洗涤时间到了,报警还要一个报警电路,根据人性化、自动化、低成本的设计原则,报警的蜂鸣器不可以长时间的叫,要有个合理的时间,我们可以用一个单稳态电路来实现。看起来还不错啊,如果这样想那就嫌早了点,还有一个问题要解决:如何提取时间并使循环电路工作的信号? 方案有两种:一是直接从数值上进行提取信号来控制一个可以实现循环的74LS194来实现;另一种是制作一个二十进制到十进制的循环转化来把这一分钟走完,但是从电路的复杂程度和经济性来说,显然后者太过于复杂,也不利于接线和排故障,虽然难度会大一些、出成果的时间会比别人晚,但是要设计一个真正可以让用户用放心使用的产品,还得这样做。尤其是最后的循环电路用两个194 一定可以很容易实现。现在大体上就这样计划,下面说说基本原理。

2.2基本原理

首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED 灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。

2.3系统设计框图如下图所示

图 2.2.1 系统原理框图

3 电路模块设计

3.1 芯片74ls192 的逻辑功能

图 4.1.1 74LS192 元件示意图

74LS192 的功能表如下表 4.1.1 逻辑示意图所示

表-4.1.1 74LS192 逻辑示意图

3.21Hz 矩形脉冲产生电路

需要的秒脉冲发生器可以由一个集成的555 定时器构成,当电源接通后,VCC 通过对R1、R2 向电容充电。电容上得到电压按指数规律上升,当电容上的电压上身到2/3VCC 时,输电压VO 为零,电容放电。当电压下降到1/3VCC 时,输出电平为高电平,电容放电结束。这样周而复始便形成了振荡。如下图-4.2.1 1Hz 矩形脉冲波产生电路。

图 4.2.1 1Hz 矩形脉冲波产生电路

555定时器构成的多谐振荡器所输出的矩形脉冲信号的频率计算式为

1.43 f

(R1 2R2)C1

故选定元件参数,R1=63K,R2=40K,C1=10uF,C2=0.01uF。其中电容C2 的作用是

抗干扰用的,以提高电路的稳定性。

3.3递减计数器与时间显示

1)分、秒计数器的设计

一百进制分计数器和六十秒计数器的原理是一样的,不同的只是它们的输入脉冲和进制不同而已,我们用四片74LS192 来实现分计数和秒计数功能,我们要的只是减计数,所以我们把它的UP端接到高电平上去,DN 端接到秒脉冲上;十分秒位上的输入端B、C端接到高电平上,即从输入端置入0110(十进制的6),秒十位的PL端和借位端TCD 联在一起,再把秒位的TCD 端和十秒位的DN 联在一起。当秒脉冲从秒位的DN 端输入的时候秒计数的192开始从9减到0;这时,它的借位端TCD 会发出一个低电平到秒十位的输入端DN,秒十位的计数从6变到5,一直到变为0;当高低位全为零的时候,秒十位的TCD 发出一个低电平信号,DN 为零时,置数端PL 等于零,秒十位完成并行置数,下一个DN 脉冲来到时,计数器进入下一个循环减计数工作中。

对于分计数来说,道理也是一样的;只是要求,当秒计数完成了,分可以自动减少,需要把秒十位的借位端TCD 端接到分计数的DN端作为分计数的输入信号来实现秒从分计数上的借位。当然,这些计数器工作,其中的清零端MR 要处于低电平,置数端不置数时要处于高电平。这是一个独立工作的最高可以显示101 分钟的计时器。把四个192的QA/QB/QC/QD 都接到外部的显示电路上就可以看到时间的显示了。作为洗衣机控制器的一个模块,它还得有一定的接口来和其他的模块连接在一起协调工作,分计数的清零端MR 是接在一起的;秒的清零端PL 又是接在一起的,所以当要从外部把它们强制清零时,可以用一个三极管(NPN)或者两个或门就可以实现该功能。还有我们可以利用分计数的UP 端来进行外部置数,当把它们各接到一个低触发(平时保持高电

平,外部给一个力就输入一个低电平)的脉冲上就可以实现从0-9 的数字输入。

(2) 分、秒计数器的

电路图

其电路图如下所

示:

图 4.3.1 时间显示

电路

3.4洗涤时间设置电路

我们可以利用分计数的UP 端来进行外部置数,当把它们各接到一个低触发(平时保持高电平,外部给一个力就输入一个低电平)的脉冲上就可以实现从0-9 的数字输入。

因此设计出洗涤时间设置电路如下图 4.4.1 洗涤时间设置电路,每次按动开关都将使洗涤时间的对应位(十位或者个位)增加1,最大增加至9,又由于所设置洗涤时间为60 分内,

故当我们对洗涤时间进行设置时,十位所置数小于6。

图 4.4.1 洗涤时间设置电路

3.5工作状态显示电路

第一步:分析洗衣机的工作状态,对于洗衣机电机的工作顺序有“启动——>

正转20s

——>暂停10s——>反转20s——>暂停10s——>停止... ”,我们可以将三

种工作状态假设为正转,暂停,反转依次设为01,00,10。从而设计出合适电路

如下图-4.5.1 工作状态显示电路:

图 4.5.1 工作状态显示电路第二步:分析实验设计中要求用4个LED 模拟洗衣机的动作状态:LED1 ~LED4 右移循环点亮表示正转,LED1~LED4 左移循环点亮表示反转,LED1~LED4 同时闪烁点亮表示暂停,全灭为停止,显然

可以利用移位寄存器来设计,但是由于本次设计未能成功利用移位寄存器仿真而转换了思路,选择了利用正转、暂停、反转的三种不同状态分别为01、00、10,以 1 表示工作以0 表示暂停从而分次序的完成设计。

首先,考虑到LED 的连续循环点亮,可以想到控制LED 一端电平的连续循环变化达到要求,又由于有4个LED,我们采用4进制计数器即可产生循环变化的4个数,这样我们可以利用译码器从而在4个输出端得到依次变化的低电平,

如此,我们可以将LED 另一端接高电平,从而实现循环点亮。

其次,考虑到存在正转和反转两种不同状态,我们需要改变译码器输入端的数字变化次序,如此分析四个数字变化规律,以及利用正反转表示状态的不同来设计出合适门电路。在此次设计中,我们采用74ls192构成一个 4 进制减数计数器,同时利用正反停指示器 1 在正转时电平为0,反转时电平为 1 来构成合适门电路。简略列出真值表如下表 4.5.1正反转指示电路逻辑表:

表-4.5.1 正反转指示电路逻辑表

于是我们可以发现我们可以利用异或门电路来完成这一构想,从而实现出正转与反转两种状态下LED 不同的循环状态。

最后,剩下的就是暂停状态的显示了。先区分出暂停与工作状态的不同,利用上面设置的工作状态表示,我们可容易得到工作以 1 表示,暂停以0 表

示。如此可利用这两种电平控制译码器的工作,容易得到工作时状态如上步分析,暂停时灯全部熄灭。为使其能闪烁,我们可以考虑利用脉冲信号,工作状态的表示与门电路来设计。

综合上述分析,我们可以设计出LED 控制电路如下图-4.5.2 控制电路

3.6控制开关

现在各单元电路完成了,最后要把它们有效的结合起来联合工作,实现目的功能。我们要求在给分钟置数的同时秒要显示为零;外部还要有强制停止并清零;还有暂停功能。

对于置数来说,我们可以在分钟计数器的UP 端到高电平之间各用一个开关

接上,就当给它一个低电平时,计数器就往上增加1。但是,192要求在UP 端工作的同时,其DOWN 端要为高电平,且秒计数器要为零,我们可以让秒计数器清零,同时把脉冲停止了。在高电平上接上一个开关,让它接到一个JK 触发器上,同时把JK 触发器的JK 端接1,让它实现触发功能。让它的Q 端输出到秒计数器的清零端,这样刚开机或者再按一下开机键就可以对秒计数器清零。把JK触发器的Q反端和从分计数器借位端LCD 反相出来的信号接到一个与非门上,从与非门出来的信号接到分计数器的DOWN 端来保证置数的时DOWN 是高电平。但是如果仅是这样的话,当置数完成再一次按开机键(如图所示)时,没有脉冲信号输入到秒计数器的DOWN 端,192 并不可以工作。

我们可以把脉冲和JK 触发器Q 反端接到一个与门上,然后把它上输出端接到秒计数器的DOWN 端以控制计数。先歇息一下。现在的问题是,循环的发光二极管没有受到控制键的控制,所以还得把受到控制的从与门U12 出来的信号输出到移位寄存器194的时钟信号CLK 上。最后要解决一个大问题,当所置的洗衣时间完成后,要发出报警并自动清零。至于报警电路我们知道当计数器全为零的时候,从秒位会发出一个借位信号,一直接到十分位上去,十分位会发出一个借位信号,我们可以用这个信号来作为报警并清零的信号,平时192 的借位端保持的是高电平,当有借位信号时,其变成0,我们在分十位借位端接一个非门,再把它和分位的CLR 端一起接到一个与门,也需要把它接到一个JK 触发器(U10)上作为其时钟信号,其后再接到单稳态电路的输入端TRI,单稳态的输出端接到蜂鸣器上。而该与门(U14)的另一输入端接在控制开关J3 上,与门出去仍然接在JK 触发器U9 上,这样当洗衣时间完成后,十分计数器的借位端TCD 端发出的0 信号就可以经过以上路径而变成1到达与门,同时JK 触发器得到一个触发信号而输出到单稳态,但一段时间后其自动停止。同时发出的 1 信号使U9 发出 1 信号而使秒计数器清零;当然秒脉冲因为U9 端的Q 反端的0 信号而使其没有输出,这样原来闪烁的灯不再亮了。

到此,一个电路总算还可以了吧,我们有时还需要让它休息一下,我们改变一下洗衣

量时,就还需要一个暂停键,只要把秒脉冲切断就可以了。我们可以在控制脉冲输出的与门U12 和脉冲到达端之间接入一个由开关控制的JK 触发器来控制的与门,这样就可以控制脉冲的输出了。我们知道与门是其中一输入为零时,无论另一端时怎样的其输出为零,但一端为 1 时,另一端输入什么与门就可以输出什么。现在控制端也连起来了,这样,一个完整的洗衣机控制电路就完成了。

LED 模拟洗衣机工作状态的同时,也作为洗衣机的报警系统,全灭为报警。

5 电路

综合上面的原理,设计

思路,

以及每部分电路的设计,得到电路图如下图

-

5.1:

图 5.1 电路

总图

6元件清单

7分析与改进

7.1 改进:

需改进点1:控制开关的设定

需改进点2:工作60 分钟后的清零设置

需改进点3:LED 控制电路中的灯的循环及其闪烁控制

需改进点4:分秒置数开关的设定

改进1:利用开关控制555 的电源输入。电路功能实现:两个置数开关可以控制洗涤时间的设置,控制开关控制电路的工作,复位开关控制显示器的清零。

改进2:利用十分位计数器的借位端平常均为1,工作到60 分钟后为0的特性,设置为JK 触发器的脉冲输入,从而控制清零端MR 。

改进3:LED 的循环闪亮主要是改变译码器的输入端,正转时为输入顺序位A ,反转时输入顺序应该相反,设置好 4 进制计数器,然后利用其输出端

Q1、Q0 的变化规律列出真值表,求出所需门电路。

改进4:利用触发器有UP 上升沿触发特性,为其可控置入低电平以改变十分位和个分位的显示。

7.2电路分析

控制开关SW1 控制电路的启动、停止工作,当SW1 拨通时,电路接通,正常工作;当SW1 拨向地时,电路停止工作。

两个置数按钮可以控制洗涤时间的设置,每按动一次设置button 键,出现一次时钟脉冲信号,计数器加1,从而冲洗涤时间在0-90 分钟内任意设定(满足60 分钟的要求)。复位开关控制显示器的清零。

译码器和逻辑门电路产生01、00、10 三种不同状态控制洗衣机正转、暂停、反转。若设置一个50s 周期内,前20s 四个灯红灯右移点亮,表示正转;10s 四个灯同时闪烁点亮,表示暂停;后20s 四个灯左移点亮,表示反转,时间减到0 时 4 个灯全灭,表示停止。

在仿真的过程中,出现的结果基本符合要求。计数器计时无误,“正

转”、“反转”、“暂停”的指示灯动作也正确,定时结束时,能自动清零,同时指示灯亮,表示提醒时间到。

值得高兴的是,独立思考之后,能完成预置功能,脉冲发生器的周期达

1.0027s ,和理

想的1s 脉冲相当接近!

不足的地方就是,设计要求当定时时间达到终点时,一方面使电机停机,同时发出音响信号提醒用户注意。我没有设计好报警器的电路,只是运用四个灯全灭来表示。

数电课设 简易洗衣机控制电路

课程设计任务书 学生姓名: 专业班级: 信息sy1101 指导教师: 工作单位: 信息工程学院 题 目: 简易洗衣机控制电路的设计与实现 初始条件:zzzzzzz 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示定时时间。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个电子定时器,控制洗衣机按如下洗涤模式进行工作: 2)当定时时间达到终点时,一方面使电机停机,同时用指示灯提醒用户。 3)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 4)用三只LED 灯表示“正转”、“反转”和“暂停”3个状态。 5)确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,阐述基本原理。画出电路原理图。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、 2013 年 5 月 16 日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、 2013 年 6 月 25 日至 2013 年 6 月 28 日,方案选择和电路设计。 3、 2013 年 6 月 29 日至 2013 年 7 月 3 日,电路调试和设计说明书撰写。 4、 2013 年 7 月 5 日,上交课程设计成果及报告,同时进行答辩。 指导教师签名: 年 月 日 停机

目录 摘要 (1) Abstract (2) 1.概述 (3) 1.1设计任务和要求 (3) 1.2设计的总体思路 (3) 2.总体方案及原理框图 (4) 2.1方案提出 (4) 2.2方案分析 (5) 3.单元电路设计与参数的计算 (6) 3.1 秒脉冲发生器 (6) 3.2 分秒计时器 (7) 3.3 数码显示器 (9) 3.4电机控制电路 (10) 3.5 报警电路 (13) 4、总体电路 (17) 5. 心得体会 (19) 附录一参考文献 (20) 附录二元件清单 (21) 附录三实物图 (22)

全自动洗衣机控制器

实验四全自动洗衣机控制器 一、实验目的 1.学习掌握全自动洗衣机的控制原理。 2.掌握基于有限状态机的控制电路设计方法。 二、预习要求 1.预习全自动洗衣机的控制原理和基于有限状态机的控制电路的设计方法。 2.画出洗衣机控制器包括不同洗衣模式的完整的状态转移图。 3.用Verilog HDL语言编程实现全自动洗衣机控制器,并进行时序仿真。 4.对顶层设计文件进行引脚锁定。 三、实验要求 1.设计一个全自动洗衣机控制器电路,实现对洗衣机的全自动控制。 根据全自动洗衣机的控制原理设计一个控制电路,使之能够控制全自动洗衣机完成整个工作过程。洗衣机工作过程分为两种情况: (1)全部自动完成 当按下复位按钮时,洗衣机上电,控制电路复位到初始状态(默认水位为“中”);使用者可根据衣服的多少,按下水位控制按钮,改变水位设置,以控制上水时加水的多少;当按下启动/暂停按钮时,洗衣机开始洗衣的第一个操作:进水阀门打开,开始上水,并根据水位设置(高、中、低、少)历时不同的时间timeadd(8s、7s、6s、5s);然后进水阀门关闭,电机开始运转,开始洗衣过程,并历时9s;然后电机停止运转,排水阀门打开,开始排水,并根据水位设置(高、中、低、少)历时不同的时间timedrain(7s、6s、5s、4s);然后排水阀门关闭,进水阀门打开,开始第二次上水,并历时timeadd……当甩干结束后,整个洗衣过程完成,扬声器发出持续15秒的急促的“嘀嘀”音,提示用户洗衣结束。正常运行状态下全自动洗衣机工作过程如图1. 1所示。 注意:在甩干过程中,电机一边高速旋转,一边排水。 图1. 1 正常运行状态下默认水位为“中”时全自动洗衣机工作过程从图中可以看出,洗衣机整个工作过程可分为9个状态,要求运用有限状态机的设计思想来实现。 (2)人工干预 在每个工作状态下,如果想要洗衣机暂停工作,可按下启动/暂停按钮,则洗衣机立刻暂停当时的操作。比如,在第一次加水过程中,若按下启动/暂停按钮,则进水阀门立刻关闭,暂停上水,计时暂停;当再次按下启动/暂停按钮,则进水阀门又打开,并继续计时,直到加水满timeadd后,进入洗衣过程。 洗衣机功能设置:

简易洗衣机控制课程设计

洗衣机控制器设计 一.设计要求 启动—→正转20s —→暂行10s—→反转20s—— (定时未到) —→暂行10 s———(定时到)——→停止 如果定时时间到,则停机并发出音响信号。 (1).采用中小规模集成芯片设计制作一个电子定时器,按照一定的洗涤程序控制电机作正向和反向转动。 (2).电机用2个继电器控制,洗涤定时时间在0—20min内由用户任意设定。(3).用两位数码管显示洗涤的预置时间,按倒计时方式对洗涤过程作计时显示,直至时间到而停机。 (4). 如果定时时间到,则停机并发出音响信号。 (5). 洗涤过程在送入预置时间后即开始运转。 二.方案选择及电路的工作原理 1.方案选择 从课程设计要求来看,要求实现电机的正传、反转、暂停,实际上没有电机给我们接上,这回要用四个LED灯的状态来表示,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的

分钟计数器、秒计数器是一定要有的。接下来脉冲是一定的了,但是有分钟计数器和秒钟计数器还要考虑是不是要60分频器,就我们所学过的来说实现循环有移位寄存器;还有个问题,当洗涤时间到了,报警还要一个报警电路,根据人性化、自动化、低成本的设计原则,报警的蜂鸣器不可以长时间的叫,要有个合理的时间,我们可以用一个单稳态电路来实现。看起来还不错啊,如果这样想那就嫌早了点,还有一个问题要解决:如何提取时间并使循环电路工作的信号?方案有两种:一是直接从数值上进行提取信号来控制一个可以实现循环的74LS194 来实现;另一种是制作一个二十进制到十进制的循环转化来把这一分钟走完,但是从电路的复杂程度和经济性来说,显然后者太过于复杂,也不利于接线和排故障,虽然难度会大一些、出成果的时间会比别人晚,但是要设计一个真正可以让用户用放心使用的产品,还得这样做。尤其是最后的循环电路用两个194一定可以很容易实现。 2.工作原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。 三.设计的具体实现 设计思路 (1)本定时器实际上包含两级定时的概念,一是总洗涤过程的定时,二是在总洗涤过程中又包含电机的正转、反转和暂停三种定时,并且这三种定时是反复循

数电课程设计——简易洗衣机控制器设计

简易洗衣机控制器设计

摘要 从课程设计要求来看,要求实现电机的正传、反转、暂停,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的分钟计数器、秒计数器是一定要有的。接下来脉冲是一定发热了,我们可以用555定时器构成的多谐振荡器来产生脉冲。除了这些,还要求在定时结束后有一个指示灯亮来提示定时时间到,还要求电路有清零和置数的功能,这些用逻辑电平开关即可以实现。

目录 第一章设计任务与要求 (4) 第二章基本原理 (5) 第三章设计方案 (6) 第四章单元电路的设计和元件的选择 (8) 4.1秒脉冲发生器 (8) 4.2分秒计数器 (10) 4.3时间译码及控制门 (12) 4.4总电路图 (13) 第五章仿真结果 (14) 5.1秒脉冲发生器 (14) 5.2分秒计数器 (14) 5.2.1正转 (14) 第六章真结果分析 (16) 第七章体会小结 (17) 第八章元器件清单 (18) 第九章参考文献 (19)

简易洗衣机控制器设计 第一章设计任务与要求 设计一个电子定时器,控制洗衣机按如图1洗涤模式进行工作 图1 洗涤模式 (1)当定时时间达到终点时,一方面使电机停机,同时发出音响信号(可换成指示灯)提醒用户注意。 (2)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 (3)三只LED灯表示“正转”、“反转”和“暂停”3个状态。

第二章基本原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。

简易洗衣机控制电路完美版

武汉理工大学《数字电子技术基础》课程设计说明书 1 Proteus软件简介 1.1 概述 Proteus软件是英国Labcenter electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、A VR、ARM、8086和MSP430等,2010年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 1.2 具有四大功能模块: 1.2.1 智能原理图设计(ISIS) 丰富的器件库:超过27000种元器件,可方便地创建新元件; 智能的器件搜索:通过模糊搜索可以快速定位所需要的器件; 智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间;支持总线结构:使用总线器件和总线布线使电路设计简明清晰; 输出高质量图纸:通过个性化设置,可以生成印刷质量的BMP图纸,可以方便地供WORD、POWERPOINT等多种文档使用。 1.2.2 完善的电路仿真功能(Prospice) Prospice混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真;超过27000个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件; 多样的激励源:包括直流、正弦、脉冲、分段线性脉冲、音频(使用wav文件)、指数信号、单频FM、数字时钟和码流,还支持文件形式的信号输入; 丰富的虚拟仪器:13种虚拟仪器,面板操作逼真,如示波器、逻辑分析仪、信号发- 1 - 武汉理工大学《数字电子技术基础》课程设计说明书 生器、直流电压/电流表、交流电压/电流表、数字图案发生器、频率计/计数器、

简易洗衣机控制器的设计与制作教学文案

题目:简易洗衣机控制器的设计与制作 专业班级:班 学生姓名:学号: 指导老师:审批: 任务书下达日期2007年1月8日星期一 设计完成日期2007年1月19日星期五 设计内容与设计要求 一、设计内容 设计并制作一个电子定时器,用以控制洗衣机电机的运转,要求如下:1. 定时到 洗衣机电机的工作顺序:

启动——>正转20s——>暂行10s——>反转20s——>暂行10s——>停止 ^ I______________________________________I 定时未到 2.用4个LED模拟洗衣机的动作状态:LED1~LED4右移循环点亮表示正转,LED1~LED4左移循环点亮表示反转,LED1~LED4同时闪烁点亮表示暂停,全灭为停止。 3.用数码管显示洗涤时间,按倒计时方式对洗涤过程作计时显示,直到时间到停机,并发出音响信号报警。 4.洗涤时间在0-60分钟内可由用户任意设定,并设置启动键,在预置定时时间后,按启动键开始机器运转。 5 .设置停止键,在洗涤过程中随时按该键可终止动作,并使显示器清0。 二.设计要求: 1.设计思路清晰,给出整体设计框图; 2.设计各单元电路,给出具体设计思路、电路器件; 3.总电路设计; 4.安装调试电路; 5.写出设计报告;

主要设计条件 1. 提供直流稳压电源、信号源、示波器等仪器; 2. 提供各类TTL集成电路芯片、电阻、电容及插接件等元器件。 3. 提供电子综合实验装置。 说明书格式 1、课程设计封面; 2、课程设计任务书; 3、说明书目录; 4、设计总体思路,基本原理和框图;

5、单元电路设计(各单元电路图); 6、总电路设计(总电路图); 7、安装、调试步骤; 8、故障分析与电路改进; 9、总结与设计调试体会; 10、附录(元器件清单); 11、参考文献; 12、课程设计成绩评分表。 注意:课程设计报告说明书要求用16开纸打印! 进度安排 第一周: 星期一上午安排任务、讲课。 星期一~星期二:查资料、设计 星期三上午:领元件〈四楼电子综合实验室〉 星期三~星期五单元电路设计和仿真、调试电路; 第二周: 星期一~星期四安装调试电路;〈四楼电子综合实验室〉星期五写总结报告,答辩;

全自动洗衣机控制系统设计开题报告精选文档

全自动洗衣机控制系统 设计开题报告精选文档
TTMS system office room 【TTMS16H-TTMS2A-TTMS8Q8TTMSHHJ8】

重庆科技学院
毕业设计(论文)开题报告
题目 全自动洗衣机控制系统设计
学 院 电气信息工程学院
专业班级 自升本 2011-1
学生姓名 黄浩然 学号
指导教师
张跃辉
年月日
开题报告填写要求
1.开题报告作为毕业设计(论文)答辩委员会对学生答辩资格审查的依据材料之一。 此报告应在指导教师指导下,由学生在毕业设计(论文)工作开始后 2 周内完成,经指导 教师签署意见及系主任审查后生效。
2.开题报告内容必须用黑墨水笔工整书写或按教务处统一设计的电子文档标准格式 (可从教务处网址上下载)打印,禁止打印在其它纸上后剪贴,完成后应及时交给指导教 师签署意见。
3.学生查阅资料的参考文献理工类不得少于 10 篇,其它不少于 12 篇(不包括辞典、 手册)。
4.“本课题的目的及意义,国内外研究现状分析”至少 2000 字,其余内容至少 1000 字。

毕业设计(论文)开题报告
1.本课题的目的及意义,国内外研究现状分析
1)本课题目的及意义
随着科技的迅速发展,人民生活水平的不断提高,洗衣机的发展也变得十分 迅速。人们对洗衣机提出了更高的要求,性能更好,操作更简单,更节能,智能 化的实现等。所以现代的洗衣机控制从以前的机械式,继电器式,渐渐的向电气 电子式发展。
以前的洗衣机都存在一些问题,比如:对衣物的磨损和伤害大,噪声大,耗 电量大,耗水量大,洗净度较低,操作复杂和稳定性差等问题。为了改善传统洗 衣机的性能,本次课题将解决这些问题,来提高洗衣机的洗净率、降低磨损率、 噪声,做到节能环保,使操作更加简单和稳定性更好。
目前市场上大多数洗衣机都是采用单片机作为控制器,因为单片机成本低, 体积小巧、功耗低,操作方便;但是单片机对环境的适应能力较低,可靠性差, 编写程序相对复杂,且硬件的复杂性高,增大了维修的难度和成本费用。
本次课题采用 PLC 作为洗衣机控制器,PLC 工作的环境要求低,可靠性高, 抗干扰能力强,编程简单,容易受计算机控制;PLC 是整体模块,集中了驱动电 路、检测电路和保护电路及通讯连网功能,使硬件相对简单可靠,维护起来更加 的方便。但是 PLC 的成本相对较高,只适合在工业中运用而不适合民用。
本次设计采用 S7-200PLC 作为洗衣机控制器,通过传感器(水位传感器,浊 度传感器)对水位,洗衣浊度进行监测,编写 PLC 程序对电动机转向、洗衣机电 磁阀门的开度和开关进行控制,并且能够实现自动调节时间,选择洗涤方式,控 制水位等。
本课题主要着重于对全自动洗衣机的控制,要求洗衣机能实现进水、洗涤、 排水、脱水、自动停止的循环过程。让洗衣机工作更加稳定,操作简单可靠,提 高衣服洗净度,让洗衣机更加智能节能化。

洗衣机控制电路数电实验

2010—2011学年第二学期《数字电子技术课程设计》报告 课题:简易洗衣机控制电路 专业班级:自动化 09-1 姓名:仇涛 学号: 09051107 设计日期: 2011年7月7日~10日

目录 一、设计任务及要求-------------------------------------------------------------------------------2 (一)具体要求--------------------------------------------------------------------------------2 (二)输入输出资源说明--------------------------------------------------------------------2 二、设计原理与方案--------------------------------------------------------------------------------4 (一)顶层设计方案---------------------------------------------------------------------------4(二)分频器设计方案------------------------------------------------------------------------5(三)计时器(倒计时)设计方案---------------------------------------------------------5 (四)显示器设计方案------------------------------------------------------------------------5(五)暂停设计方案---------------------------------------------------------------------------5 三、电路设计、仿真与实现-----------------------------------------------------------------------6(一)顶层的设计实现------------------------------------------------------------------------6(二)分频器的设计实现---------------------------------------------------------------------8

全自动洗衣机控制系统概况

合肥学院 计算机科学与技术系 微机原理与接口技术 课程设计 课程设计科目全自动洗衣机控制系统 学生姓名 学号 班级 指导教师高玲玲、肖连军

1、题意分析与解决方案 1.1 题意需求分析 根据以上题目所给的提示,我们对其进行解析:首先,刚开始的时候系统处于初始状态,准备好启动,然后一声蜂鸣表明洗衣机已经进入工作状态。当按下暂停键之后,9s的放衣服时间,然后选择洗衣周期,然后我们进入了洗衣状态。在洗涤的过程时,打开进水阀(此过程就是注水的过程),当到达预定水位时,按下水位开关,然后电机MO转动,在洗涤的过程中电机正反转三次后停止转动。然后进入脱水的过程,此时我们要打开排水阀,然后使电机正转,脱水结束后,电机停止转动。漂洗过程和洗涤的过程相似,只是在漂洗的时候,是把电机转动的次数改成正反转两次。甩干的过程和脱水的过程相似,只是电机转动的时间比脱水过程长一些。 从题意需求分析本课程设计需要解决的问题如下: (1)怎样用程序实现电机的正转反转; (2)我们怎么样在全速运行的条件下知道程序已经进入到哪一个步骤; (3)怎样分配按键,使程序尽可能的全自动化。 1.2 解决问题方法及思路 1.2.1硬件部分 本课程设计具体要求如下: (1)进水阀由继电器模拟; (2)洗衣流程进展过程由LED等指示; (3)预设水位由按键控制; (4)波轮旋转由电机控制。 此次课程设计中,我们在程序运行时,需要用到按键,所以对按键的分配如下:

表1-1 按键分配表 K1 暂停开关0 关闭 1 开启 K2 洗衣程序选择0 标准洗衣 1 经济洗衣 K3 水位开关0 低水位 1 高水位 本实验中我们要用到的硬件主要是8255A、LED指示灯、继电器、八路二进制开关、步进电机、蜂鸣器。 我们将LED指示灯接在8255A的PA口,而LED指示灯在此次实验过程中的作用就是指示洗衣流程进展(第5个灯亮表示在洗涤的过程,第6个灯亮表示在脱水的过程,在第7个灯亮表示在漂洗的过程,第8个灯亮表示在甩干的过程)和提示我们下一步应该怎样按键。将八路二进制开关接在8255A的PB口上,八路二进制开关在本实验中的作用是模拟洗衣机面板上的按键和水位开关的作用。将步进电机的A、B、C、D四相分别按顺序接到PC口的PC0~PC3上,本次实验过程中采用的是四相八拍的步进电机。将蜂鸣器接在PC口的PC4上,蜂鸣器的作用主要是提示我们洗衣机已经启动和洗衣结束。将继电器接入到PC口的PC5上,继电器在本次实验过程中的主要作用是模拟进水阀。 1.2.2软件部分 8255A是一个可编程芯片,我们可以通过程序对8255A芯片进行编程来实现本次课程设计所要求实现的所有功能。在本次实验中我们需要在程序中实现对电机的转动。为了能实现洗涤过程(此过程要求电机正转和反转),所以我们采用的是步进电机,实际应用中步进电机的类型有很多种,然而我们的实验箱上是四相的步进电机,在实验中我采用的是四相八拍的控制,当我们使其从A→AB→B →BC→C→CD→D→DA,这样可以实现电机的正转,当使他从DA→D→CD→C →BC→B→AB→A,这样就可以实现电机的反转,这个过程就是通过程序对PC 口的PC0~PC3进行设置的。排水阀我们是用继电器来模拟的,实验箱上的继电器是低电平工作,所以如果我们要用到继电器即要打开进水阀时,我们只要对PC口的PC5进行设置。在本实验中,我们要使用蜂鸣器来提示洗衣机工作和洗衣结束,这个过程也是通过程序来实现的,我们只要将PC4设置成低电平,蜂鸣器就开始工作了。

Verilog数字电子技术-洗衣机控制器

2010学年度第一学期 电子技术基础课程设计 ――智能洗衣机控制器 控制科学与工程系 自动化 1006 班 ******* ******** 院 系: 专 业: 班 级: 姓 名: 学 号: 指导教师:

课程设计名称 智能洗衣机控制器的设计 设计内容及要求 ①设计一个智能洗衣机控制器,能够实现洗衣,漂洗和脱水的功能。 ②要求能够使用按键模拟对洗衣机的控制,能够设置工作模式,为了便于观察,将洗衣机设定的工作模式(1~5)和整个过程所剩的工作时间用数码管显示出来(时间分辨率为 1 分钟),能够将洗衣 机当前所处的状态(注水,洗衣,排水,甩干)用发光管或者数码管显示出来。 模式1 】:洗衣模式--强力洗(洗衣30 分钟) 模式 2 】 :洗衣模式--普通洗(洗衣20 分钟) 模式3】:洗衣模式--轻柔洗(洗衣10 分钟) 模式 4 】 :漂洗模式 模式 5 】 :甩干模式 注:在以上 5 个模式中,每次注水 1 分钟,漂洗 5 分钟,排水 1 分钟,甩干1分钟,模式1?3的洗衣时间如上所示,具体的洗衣步骤如下: 【模式 1 ?3】:注水->洗衣->排水->甩干->注水->漂洗->排水->甩干

-> 注水-> 漂洗-> 排水-> 甩干。 【模式4】:注水-> 漂洗-> 排水-> 甩干-> 注水-> 漂洗-> 排水-> 甩 干。 【模式 5 】:甩干。【要求】:实现逻辑控制过程,可以选择性的加入注水口无水报警等人性化的状态提示,操作完毕使用蜂鸣器鸣叫两秒提示。 ③画出洗衣机控制器的状态机,写出状态编码方案。 ④用Verilog 语言对设计进行描述,设计一个测试方案,并能够下载到实验板上调试成功。 ⑤写出设计性实验报告,并打印各层次的源文件和仿真波形,然后作简要说明。并谈谈此次实验的收获、感想及建议 系统设计 1 系统框图与说明本次设计的重点在于智能控制器状态之间转换的条 件和关系, 并且在此基础上输出相应的显示

基于VHDL语言的简易洗衣机控制器

电子课程设计 ——简易洗衣机控制器设计 学院: 班级: 姓名: 学号: 指导老师: 2013年12月

目录 第一部分:设计任务与要求 (1) 第二部分:总体框图 (1) 第三部分:选择器件 (2) 第四部分:功能模块 (3) 4.1时间预置编码寄存模块(settime) (3) 4.2减法计数器模块(counter) (4) 4.3数码管显示模块(showtime) (7) 4.4时序电路模块(analyse) (9) 4.5译码器模块(move)……………………………………… 1 1 第五部分:总体设计电路图 (13) 5.1总体(顶层)设计电路图 (13) 5.2顶层文件仿真 (13) 5.3管脚分配图 (14) 5.4硬件实验效果图 (14) 第六部分:课程设计心得体会 (15)

简易洗衣机控制器设计 一、设计任务与要求 设计一个洗衣机洗涤程序控制器,控制洗衣机的电动机按下图所示的规律运 转: 时间到 用两位数码管预置洗涤时间(分钟数),洗涤过程在送入预置时间后开始运转,洗涤中按倒计时方式对洗涤过程作计时显示,用LED 表示电动机的正、反转,如果定时时间到,则停机并发出音响信号。 二、总体框图 RUN REV PAUSE time_over clk K5 start K6 load K1 K2 K3 K4 各个部分的具体功能描述如下: (一)预设时间和编码电路(settime ):接受用户通过按钮预置的时间信息,编码 译码驱动模块(move) clk out_1 out_2 start 时序电路模块(analyse) clk time_over start 十进制减法计数器模块(counter) 洗涤预置时间编码寄存电路模块(settime) 报警信号 时间显示模块(showtime ) 定时启动 停止 正转 暂停 反转 暂停

全自动洗衣机的PLC控制

课题二全自动洗衣机的PLC控制 一、概述 洗衣机的应用现在比较普遍。全自动洗衣机的实物示意图如图所示。 全自动洗衣机的洗衣桶(外桶)和脱水桶(内桶)是以同一中心安放的。外桶固定.作盛水用。内桶可以旋转.作脱水(甩水)用。内桶的四周有很多小孔.使内外桶的水流相通。该洗衣机的进水和排水分别由进水电磁阀和排水电磁阀来执行。进水时.通过电控系统使进水阀打开.经进水管将水注入到外桶。排水时.通过电控系统使排水阀打开.将水由外桶排出到机外。洗涤正转、反转由洗涤电动机驱动波盘正、反转来实现.此时脱水桶并不旋转。脱水时.通过电控系统将离合器合上.由洗涤电动机带动内桶正转进行甩干。高、低水位开关分别用来检测高、低水位。启动按钮用来启动洗衣机工作。停止按钮用来实现手动停止进水、排水、脱水及报警。排水按钮用来实现手动排水。 二、设计任务和要求 该全自动洗衣机的要求可以用流程图来表示。 PLC投入运行.系统处于初始状态.准备好启动。启动时开始进水.水满(即水位到达高水位)时停止进水并开始正转洗涤。正转洗涤15 s后暂停.暂停3 s后开始反转洗涤。反转洗涤15s 后暂停.暂停3 s后.若正、反洗涤未满3次.则返回从正转洗涤开始的动作;若正、反洗涤满3次时.则开始排水。排水水位若下降到低位时.开始脱水并继续排水。脱水10s即完成一次从进水到脱水的工作循环过程。若未完成3次大循环.则返回从进水开始的全部动作.进行下一次大循环;若完成了3次大循环.则进行洗完报警。报警10s结束全部过程.自动停机。’此外.还要求可以按排水按钮以实现手动排水;按停止按钮以实现搬运.停止进水、排水、脱水及报警。

三、设计方案提示 1.I/O地址 输入输出 :启动按钮:进水电磁阀 Xl:停止按钮:电动机正转接触器 :排水按钮:电动机反转接触器 :高水位开关:排水电磁阀 :低水位开关:脱水电磁阀 :报警蜂鸣器 2.方案提示 ①用基本指令、定时指令和计数指令组合起来设计该控制程序。 ②用步控指令实现该控制。

全自动洗衣机控制电路

全自动洗衣机控制电路 摘要自19世纪中叶,美国人史密斯研制出世界上首台洗衣机至今,洗衣机的发展已经历了一个多世纪。1910年世界上第一台电动洗衣机问世,标志着人类家务劳动自动化的开始。在数字技术风行的今天,大多数的家用电器实现了数字化控制。1922年世界上第一台搅拌式洗衣机在美国诞生。1937年世界上第一台全自动滚筒式洗衣机投放市场。1957年三洋公司推出世界上第一台涡流式波轮洗衣机。从此,确立了搅拌式、滚筒式和波轮式三种工作方式洗衣机三足鼎立天下的局面。 关键词全自动洗衣机定时器 1 引言洗衣机是一种在家庭中不可缺少的家用电器,发展非常快,全自动式洗衣机因使用方便得到大家的青睐,全自动即进水、洗涤、漂洗、甩干等一系列过程自动完成。它的发明和应用使人们的洗衣工作变得省时又省力,很好地缓解了人们在家务劳动方面的压力。而在家电市场竞争日益激烈和利润下降的今天,各大家电生产厂商均致力于开发出能满足用户各种要求的智能家电产品,并努力降低生产成本以增强竞争力。 2 方案设计 洗衣机的主要控制电路是一个定时器,它按照一定制洗涤程序控制电动机 作正向和反向转动.定时器可以采用机械式,也可采用电子式.这里采用电 子定时器来控制洗衣机的运转(图A)。

图A 定时器来控制洗衣机的运转 2.1 设电动机用k 1和k 2两个继电器控制,继电器驱动电路如下图B 所示。洗涤定时间在0~10min 内由用户任意设定。 2.2 用两位数码管显示洗涤的预置时间,按倒计时方式对洗涤过程作计时显示,直至时间到而停机。 如果定时时间到,则停机并发出音响信号。 2.3 当定时时间到达终点时,一方面使电动机停转,同时发出音响信号提醒用户注意。 2.4 洗涤过程在送入预置时间后开始运转。 图B 洗衣机电动机驱动电路 3 总体方案与工作原理 3.1 本定时器实际上包含两级定时的概念,一是总洗涤过程的定时,二是在总洗涤过程中又包含电机的正转、反转和暂停三种定时,并且这三种定时是反复循环直至所设定的总定时时间到为止;依据上述要求,可画出总定时T 和电动机驱动信号Z1、Z2的工作波形如下图C 所示。 正转(10S) 暂停(10S) 反转(10S) 暂停(10S) 停止 定时未到 定时启动 定时到 Z 111Z 2 R b1 R b2 VT 1 3DG12 VT 2 3DG12 VD 1 VD 2 K 2 ~220 K 1 正转 反转

全自动洗衣机控制系统设计

全自动洗衣机控制系统 设计 集团文件版本号:(M928-T898-M248-WU2669-I2896-DQ586-M1988)

东北大学秦皇岛分校自动化工程系自动控制系统课程设计 全自动洗衣机控制系统的设计 专业名称自动化 班级学号 学生姓名 指导教师 设计时间2011.6.27~2011.7.8 东北大学秦皇岛分校自动化工程系 《自动控制系统》课程设计任务书 专业:自动化班级: 姓名: 设计题目:全自动洗衣机控制系统的设计 一、设计实验条件 装有单片机仿真软件的电脑。 二、设计任务 全自动洗衣机控制系统的要求是能实现“正常运行”和“强制停止”两种控制方式。 1.正常运行 “正常运行”方式具体控制要求如下: (1)将水位通过水位选择开关设在合适的位置(高、中、低),按下“启动”按扭,开

始进水,达到设定的水位(高、中、低)后,停止进水; (2)进水停止 2s 后开始洗衣; (3)洗衣时,正转 20s,停 2s,然后反转 20s,停 2s; (4)如此循环共 5 次,总共 220s 后开始排水,排空后脱水 30s;(5)然后再进水,重复(1)~(4)步,如此循环共 3 次; (6)洗衣过程完成,报警 3s 并自动停机。 2.强制停止 “强制停止”方式具体控制要求如下: (1)若按下“停止”按扭,洗衣过程停止,即洗涤电机和脱水桶转、进水电磁阀和排水 电磁阀全部闭合; (2)可用手动排水开关和手动脱水开关进行手动排水和脱水。 三、设计说明书的内容 1、设计题目与设计任务(设计任务书) 2、前言(绪论)(设计的目的、意义等) 3、主体设计部分 4、参考文献 5、结束语 目录

课程设计 简易洗衣机控制器

课程设计简易洗衣机控制器 现代社会人们的生活水平越来越高,洗衣机作为一种方便人们洗涤的设备进入了千家万户。洗衣机控制器的设计也变的越来越重要。随着大规模集成电路技术的发展,人们的日常生活越来越数字化和集成化,洗衣机控制器的发展也在不断的前进。 从课程设计要求来看,本次设计用到了我们熟悉的555定时器构成的多谐振荡器来产生脉冲,74LS192芯片,数码管等电路器件,理所当然的分钟计数器、秒计数器是一定要有的。通过一些基本的电路元件(电阻、电容)和门电路(非门、或门、与门、与非门、异或门)将各个电路器件连接起来,除了这些,还要求在定时结束后有一个指示灯来提示定时时间到,还要求电路有清零和指数的功能,这些用逻辑电平开关即可以实现。 1、设计任务和指标 设计一个电子定时器,控制洗衣机按如图1洗涤模式进行工作,具体指标如下: 图1、洗涤模式 (1)当定时时间达到终点时,一方面使用电机停机,同时发出音响信号(可换成指示灯)提醒用户。 (2)用两位数码管显示洗涤预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 (3)三只LED灯表示“正转”、“反转”和“暂停”3个状态。 2、设计原理和参考电路 (1)设计方案 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作,当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。该方案的原理框图如图2所示。

基于PLC全自动洗衣机控制系统方案

本科生毕业设计(论文)题目基于PLC的全自动洗衣机控制系统

基于PLC的全自动洗衣机控制系统 摘要:随着社会经济的发展和科学技术水平的提高,家庭电器全自动化成为必然的发展趋势。全自动洗衣机的产生极大的方便了人们的生活。洗衣机是国家电业唯一不打价格战的行业,经过几年的平稳发展,国产洗衣机无论在质量上还是功能上都和世界领先水平同步。纵观洗衣机市场,高效节能、省水、省电、环保型洗衣机一直在市场上占主导地位。 根据全自动洗衣机的工作原理,利用可编程控制器PLC实现控制,说明了PLC控制的原理方法,特点及控制洗衣机的特色。PLC的优点是:可靠性高,耗电少,适应性强,运行速度快,寿命长等,为了进一步提高全自动洗衣机的功能和性能,避免传统控制的一些弊端,就提出了用PLC来控制全自动洗衣机这个课题。全自动洗衣机控制系统利用了西门子S7-200系列PLC的特点,对按鈕,电磁阀,开关等其他一些输入/输出点进行控制,实现了洗衣机洗衣过程的自动化。由于每遍的洗涤,排水,脱水的时间由PLC计数器控制,所以只要改变计数器参数就可以改变时间。可以把上面设定的程序时间定下来,作为固定程序使用,也可以根据衣物的质地,数量及油污的程度来编程。该论文就怎样利用PLC来控制全自动洗衣机进行了调查,对其中软件设计、硬件设计等问题进行了分析和研究,实现了全自动洗衣机的正常运行和强制性停止功能。 关键词:PLC;自动;定时;控制

Entire automatic washer control system design Undergraduate:Qiu Yun qiao Supervisor:Yuan Liang Abstract:Along with the social economy development and the science and technology level enhancement, the family electric appliance entire automation becomes the inevitable development tendency. Entire automatic washer production enormous convenience people's life. The washer is the domestic electrical appliances industry does not only hit the profession which the price fights, passes through several year steady development, the domestically produced washer regardless of in quality or in function all with world leading horizontal synchronization. Looks over the washer market, the highly effective energy conservation, the province water, the province electricity, the environmental protection washer continuously occupy the dominant position in the market. How does this paper study controls the entire automatic washer using PLC, to question and so on software design, hardware design has carried on the analysis and the discussion, has realized the entire automatic washer normal operation and compulsory stops the function. Keywords: PLC; control; delay;entire automatic

全自动洗衣机控制系统设计

分数: 华南理工大学广州学院 课程设计任务书 题目:全自动洗衣机的PLC控制系统设计 课程:PLC技能培训课程设计 专业:自动化 班级: 姓名: 学号:

第一部分任务书

《PLC技能培训》课程设计任务书 一、课程设计目的 编程序控制器(PLC)于20世纪60年代在美国诞生,在我国的发展与应用已有30多年的历史,现在它已经广泛应用于国民经济的各个工业生产领域,成为提高工业装备电气自动化水平的重要设备和强大支柱。因此,PLC技术已成为电气自动化专业技能型人才必不可少的重要技能,《PLC技能培训》课程是《电气设备与PLC》课程实践教学环节,通过实践,可以帮助学生加深对理论知识消化吸收,提高PLC编程技能。 该课程设计的主要目的是通过运用三菱FX3U 系列PLC设计一控制系统,掌握PLC控制系统设计原则、方法、过程和具体设计步骤。通过设计还有助于复习、巩固以往所学的知识,达到灵活应用的目的。电气设计必须满足生产设备和生产工艺的要求,因此,设计之前必须了解设备及控制系统的用途、结构、操作要求和工艺过程,在此过程中培养从事设计工作的整体观念。 课程设计应强调能力培养为主,在独立完成设计任务的同时,还要注意其他几方面能力的培养与提高,如独立工作能力与创造力;综合运用专业及基础知识的能力,解决实际工程技术问题的能力;查阅图书资料、产品手册和各种工具书的能力;工程绘图的能力;书写技术报告和编制技术资料的能力。 二、PLC应用系统设计的内容和步骤 1.设计原则 (1)系统应最大限度地满足被控设备或生产过程的控制要求。 (2)在满足控制要求的前提下,应力求使系统简单、经济,操作方便。 (3)保证控制系统工作安全可靠。 (4)考虑到生产发展和生产工艺改进,在确定PLC容量时,应适当留有裕量,使系统有扩展余地。 2.设计内容 )拟定控制系统设计的技术条件。1(. (2)确定电气传动控制方案和电动机、电磁阀等执行机构。 (3)选择PLC的型号。 (4)编制PLC输入、输出端子分配表。 (5)绘制输入、输出端子接线图。 (6)根据系统控制要求,用相应的编程语言(常用梯形图)设计程序。 (7)设计操作台、电气柜及非标准电气元件。 (8)编写设计说明书和使用操作说明书。 3.设计主要步骤 (1)分析被控对象的控制要求,确定控制任务. (2)选择和确定用户I/O设备. (3)选择PLC的型号.

洗衣机控制电路

一、概述 本设计要求完成洗衣机控制电路的设计。控制洗衣机按定时启动、正转20秒、暂停10秒、反转20秒、暂停10秒的洗涤模式不停的循环,到达定时时间后停止。并要求有数码管显示时间,LED显示状态,声音报警。 通过以上要求,洗衣机洗涤模式是以60秒钟即一分钟作为循环。计时方式是通过预置时间定时,因而初步设想使用一个六十进制倒计时电路作为核心控制。预置时间以分为单位,则还需要分计时器,并且要能预置时间。同时,时间的计时按秒来进行,则需要用一个妙信号发生器。最后,用两位数码管作为时间显示电路,三个LED灯作为状态显示电路,蜂鸣器作为报警电路。根据以上分析,设计电路基本框图入下: 二、方案论证 计时器芯片选择 采用74LS192芯片实现 中规模集成电路74LS192进行设计较为简便,74LS192是十进制可编程同步加减计数器,它采用8421码二·十进制编码,并具有直接清零、置数。加/减计数功能,为双时钟芯片,计数采用DOWN时钟,而置数可以采用UP时钟,因而置数显得十分简单,是很好的选择。

显示电路选择 显示电路用单位的数码管和相适应的显示译码器,这儿采用共阴极的单位数码管,应用十分简单。 状态显示电路 使用三个不同颜色的数码管来表示不同的洗涤状态,通过74LS138作为译码器,来实现该功能。 经过以上分析,计时电路采用四片74LS192实现,两片组成六十进制计数器实现秒信号计数,当计满六十秒后向前借位。另外两片构成一百进制计数器,来计数秒的借位信号,同时实现预置数功能。数码管、报警电路和LED灯分别与逻辑电路进行组合,接到74LS192的输出,以完成时间显示、报警和状态显示,洗衣机控制则使用74LS138作为译码输出进行不同的组合,完成控制。 其中显示电路实际连接为: 图1 显示电路具体图 三、电路设计 计时电路 我们用四片74LS192来实现分计数和秒计数功能,对于秒信号,我们要的只是减计数,所以我们把两片秒计数的74LS192芯片的UP端接到高电平上去,DOWN端接到秒脉冲上;十分秒位上的输入端B、C端接到高电平上,即从输入端置入0110(十进制的6),秒十位的LD端和借位端BO联在一起,再把秒位的BO端和十秒位的DOWN联在一起。由图3.2.3可知,LD低电平有效,产生借位信号时,BO也是低电平有效。当秒脉冲从秒位的DOWN端输入的时候秒计数的74LS192开始从9减到0;这时,它的借位端BO 会发出一个低电平到秒十位的输入端DOWN,秒十位的计数从6变到5,一直到变为0;当高低位全为零的时候,

相关文档
最新文档