数字电路实验报告-4选1数据选择器及其应用

合集下载

eda实验报告(全加器,四选一数据选择器,交通灯)

eda实验报告(全加器,四选一数据选择器,交通灯)

浙师大数理与信息工程学院学生实验报告实验一简单组合逻辑电路设计1、实验目的熟悉软件使用,了解CPLD设计的过程。

用画逻辑图和直接使用VHDL语言的两种方法进行逻辑设计。

2、实验内容用开关K7,K8作为输入设置,从输出指示LED观察OUT21,22,23,24等的变化。

3、实验条件EDA实验箱、QUARTUS2软件4、实验设计原理图VHDL源程序library ieee;use ieee.std_logic_1164.all;entity shier isPort( k7,k8:in std_logic;out20,out21,out22,out23,out24:out std_logic); end shier;architecture sr of shier isbeginout20<=k7;out21<=not k7;out22<=k7 and k8;out23<=k7 or k8;out24<=k7 xor k8;end sr;波形仿真实验二三八译码器电路设计1、实验目的熟悉软件使用,了解CPLD设计的过程。

用画逻辑图和直接使用VHDL语言的两种方法进行逻辑设计。

2、实验内容用开关K1,K2,K3,K4作为输入设置,组成一个高输出有效的三八译码器,从输出指示LED观察OUT1到OUT8随K1,K1,K3置值的改变而引起相应的变化。

3、实验条件EDA实验箱、QUARTUS2软件4、实验设计原理图VHDL源程序library ieee;use ieee.std_logic_1164.all;entity shisan isport( a,b,c:in std_logic;y:out std_logic_vector(7 downto 0));end shisan;architecture one of shisan isbeginprocess(a,b,c)variable d:std_logic_vector(2 downto 0);begind:=(c&b&a);if d<="000" theny<="00000001";elsif d<="001" theny<="00000010";elsif d<="010" theny<="00000100";elsif d<= "011"theny<="00001000";elsif d<= "100"theny<="00010000";elsif d<="101"theny<="00100000";elsif d<="110" theny<="01000000";elsif d<="111" theny<="10000000";else null;end if;end process;end one;波形仿真实验四四选一数据选择器电路设计1、实验目的熟悉和了解VHDL语言涉及数字电路的流程,掌握完整的EDA设计方法。

实验一四选一数据选择器的设计

实验一四选一数据选择器的设计

实验一四选一数据选择器的设计实验目的:设计并实现一个四选一数据选择器,可以将四个输入信号中的一个作为输出信号进行传递。

实验原理:四选一数据选择器是多路选择器的一种,主要由输入端、控制端和输出端组成。

输入端有四个信号输入线,控制端有两个控制输入线,输出端有一个信号输出线。

通过控制输入线的不同组合,可以选择其中一个输入信号传递到输出端。

实验材料与器件:1.1片74LS153(二选四数据选择器)芯片2.4个开关按钮3.连接线4.电源线5.示波器(可选)实验步骤:1.连接电路:a. 将芯片74LS153的Vcc引脚连接到正极电源线,将GND引脚连接到负极电源线。

b.将芯片的1A、1B、2A、2B四个输入引脚分别连接到四个开关按钮。

c.将芯片的S0、S1两个控制输入引脚分别连接到两个控制开关按钮。

d.将芯片的Y输出引脚连接到输出信号线。

e.将电源线接入电源插座,通电。

2.设置控制输入:a.初始状态下,所有控制输入引脚都为低电平状态。

b.可以通过控制两个开关按钮的开关状态来改变控制输入引脚的电平。

3.输出结果观测:a.打开示波器,将其输入端连接到芯片的输出引脚,设置为观测模式。

b.通过改变控制输入的电平状态,可以选择不同的输入信号进行输出。

c.观察示波器上的输出信号波形,确保输出信号与选择的输入信号一致。

4.实验记录:a.记录不同控制输入状态下的输入信号及输出信号。

b.通过对比观测结果,验证芯片的正常工作。

实验注意事项:1.连接电路时,注意电源接线正确,避免短路或电路损坏。

2.实验过程中操作电路和仪器时,保持手部干燥,并确保安全。

3.实验完成后,关闭电源,将电路和仪器恢复原状,并整理实验记录。

4.若没有示波器,可以通过观察输出信号线连接的LED灯的亮灭来判断输出信号的状态。

实验结果与讨论:在实验中,我们设计并实现了一个四选一数据选择器,并通过改变控制输入的电平状态来选择不同的输入信号进行输出。

通过观察观测结果,我们可以发现当控制输入引脚的电平状态为低-低时,选择1A作为输出;当为低-高时,选择1B作为输出;当为高-低时,选择2A作为输出;当为高-高时,选择2B作为输出。

数电实验报告之数据选择器应用

数电实验报告之数据选择器应用

数电实验报告之数据选择器应用一、实验目的通过实验掌握数据选择器的基本原理、工作方式及应用;会用数据选择器解决实际问题。

二、实验设备数字电路实验箱、IC7400、IC74157、IC74245、LED灯、按钮、开关、电阻、导线等。

三、实验原理数据选择器是一种多通道数据选择开关,具有“选通/隔断”特点,常用于多路输入/输出,或在多路输入中选择一路进行处理等。

IC74157是一种四选一数据选择器,IC74245是一种八位双向缓冲器。

四、实验内容将IC74157连接到数字电路实验箱的插座上,采用手工方式对数组进行选择,将选择结果连接到LED灯上显示。

将IC74245连接到数字电路实验箱的插座上,在输入端口连接一个开关,通过开关控制数据输入端口,将输出端口连接到LED灯上进行输出。

五、实验步骤1. 将IC74157插入数字电路实验箱插座中2. 在波特图的基础上,根据实验需求,将IC74157与其他器件连接。

3. 将LED灯连接到IC74157的输出端口上4. 将手动选择的数据输入到IC74157的输入端口中,并观察LED灯的显示情况。

5. 将IC74245插入数字电路实验箱插座中。

6. 将开关连接到IC74245的输入端口上。

7. 调整管脚引脚,确定正确的插入和连接方向。

8. 将IC74245与其他器件连接起来。

9. 将LED灯连接到IC74245的输出端口上,并观察IEC灯的显示情况。

10. 通过控制开关,输入不同的数据,观察输出端口的变化。

六、实验结果通过手动选择的方式,将数据选择选中,将其输出到LED灯上,观察LED灯的状态表示相应的输出结果。

通过开关的控制,可以输入不同的数据,使数据选择器的输入数据发生变化,相应的输出结果通过LED灯显示出来。

七、实验分析数据选择器是一种功能强大的器件,常用于多路输入/输出,或多个输入选取一个输出进行处理等。

通过实验,我们可以了解数据选择器的基本原理、工作方式及应用,并能掌握使用数据选择器解决实际问题的方法。

数据选择器及其应用实验报告

数据选择器及其应用实验报告

数据选择器及其应用实验报告一、实验目的本次实验旨在深入了解数据选择器的工作原理、功能特点以及其在数字电路中的广泛应用。

通过实际操作和测试,掌握数据选择器的逻辑功能和使用方法,培养实际动手能力和逻辑思维能力。

二、实验原理数据选择器(Data Selector)又称多路选择器(Multiplexer),是一种能从多个输入数据中选择一个输出的组合逻辑电路。

常见的数据选择器有 2 选 1、4 选 1、8 选 1 等。

以 4 选 1 数据选择器为例,它有 4 个数据输入端(D0、D1、D2、D3)、2 个地址输入端(A1、A0)和 1 个输出端(Y)。

地址输入端的不同组合决定了从哪个数据输入端选择数据输出。

数据选择器的逻辑表达式为:Y = D0(A1'A0')+ D1(A1'A0) +D2(A1A0')+ D3(A1A0)三、实验器材1、数字电路实验箱2、 74LS153 双 4 选 1 数据选择器芯片3、逻辑电平指示器4、导线若干四、实验内容与步骤1、测试 74LS153 数据选择器的逻辑功能将 74LS153 芯片插入实验箱的插座中。

按照芯片引脚图,将地址输入端 A1、A0 分别连接到逻辑电平开关,数据输入端 D0 D3 分别连接到高电平(1)或低电平(0)。

观察逻辑电平指示器,记录不同地址输入组合下的输出结果,并与理论值进行比较。

2、用 74LS153 实现逻辑函数给定一个逻辑函数,例如 F = A'B + AB'将逻辑函数转化为与数据选择器输入输出关系相匹配的形式。

根据转换后的表达式,连接电路,验证输出结果是否与预期相符。

3、数据选择器的级联使用两个74LS153 芯片进行级联,实现8 选1 数据选择器的功能。

连接电路,测试级联后的逻辑功能。

五、实验数据及结果分析1、逻辑功能测试结果| A1 | A0 | D0 | D1 | D2 | D3 | Y |||||||||| 0 | 0 | 0 | 1 | 0 | 1 | 0 || 0 | 1 | 1 | 0 | 1 | 0 | 1 || 1 | 0 | 0 | 1 | 1 | 0 | 1 || 1 | 1 | 1 | 0 | 0 | 1 | 1 |实验结果与理论值相符,验证了 74LS153 数据选择器的逻辑功能的正确性。

数据选择器及其应用实验报告

数据选择器及其应用实验报告

数据选择器及其应用实验报告实验目的:
本实验的目的是通过实现数据选择器的功能,加深对于数字电路的理解,并提升对于数字电路实现的实践能力。

实验原理:
数据选择器是一种能够从多个数据信号中选择特定信号输出的数字电路,通常它有一个或多个数据输入线、一个或多个控制输入线、一个输出线和一个使能输入线。

在数据选择器输出线上的输出值,取决于控制输入线上的值以及选择从哪一个数据输入线接收数据信号。

在本次实验中,我们使用的是双二选一的数码开关。

“双”指的是它一共有两个信道供选择,“二选一”则代表只会选择其中一个信道作为输出。

实验步骤:
1.根据实验原理和实验材料的提供,搭建实验电路。

2.设置信号源,对选择器进行输入数据和控制信号的测试。

3.根据信号源输出的数据,通过实验电路计算出数据选择器输出的结果。

4.逐一更改控制信号的值,反复测试并记录数据。

并对实验记录进行整理和比较分析,以达到理解、检验和加深对数据选择器的认识。

实验结果:
在实验中我们完成了数据选择器的搭建和调试,并通过多次实验数据的记录与比较,成功实现了数据选择器的功能。

实验结论:
通过本次实验,我们深入学习了数据选择器的工作原理和实现方式,并从中进一步了解了数字电路的基本概念和实现方式。


过反复实验和分析,我们成功完成了数据选择器的功能调试,提升了我们的实践能力和对数字电路的理解。

完整版四选一多路选择器试验报告

完整版四选一多路选择器试验报告

实验报告学院:电气工程学院班级: 专业:电子信息工程“所有程序〞 一 “ Altera 〞 一 “ Quartus II 9.0〞 “Quartus II 9.0(32bit )Q 启动软件.2 .选择 “File 〞 一 “New Project Wizard 〞,出现 “Introduction 〞 页3 .单击“Next〞按钮,进入工程名称的设定、工作目录的选择.4 .在对话框中第一行选择工程路径;第二行输入工程名,第三行输入顶层文件的实体名6 .新建设计文件,选择“File |New 〞 ,在NeW 寸话框中选择Device DesignFiles 下的Verilog File ,单击OK 完成新建设计文件.7 .在新建设计文件中输入Verilog 程序.8 .结果仿真编写四选一电路的VHDL 弋码并仿真,编译下载验证:实验程序:LIBRARY IEEE;USE IEEE. STD_LOGIC_1164.ALL;ENTITY mux4 ISPORT (input: IN STD_LOGIC_VECTOR (3 DOWNTO 0);sel: IN STD_LOGIC_VECTOR (1 DOWNTO 0);y : OUT STD_LOGIC);END mux4 ;ARCHITECTURE rtl OF mux4 ISBEGINPROCESS (input, sel)BEGINIF (sel= "00〞)THENy<= input (0);ELSIF (sel= "01")THENy<= input (1);1.选择“开始〞 面, 如下列图,该页面介绍所要完成的具体任务.ELSIF (sel= “10〞)THENELSE END IF;END PROCESS;END rtl;二:程序运行图:LIBRARY IEEE TOSE- ITEE . STD LOGTC i 1.ALLj■ ENTITY aiucial 15S PC®LT(ifil!rtlt : TN 5TD_XX>GTC_VECTOR ( 3 DCffiiTC ?); a r b:I^STD2tMIC?ysCOT STD_DOGICJ j|E=iD ENTITYS ARTHTTEZTTTRH rtl CF ir :^J£4al 15SIGNAL 5elsSTD_L©GIC -_VECTOR (1 BWNTO .?事■ BEGIN,FRCCE55(ZLnpUT ;/ aeL) IS BEGIPTIF {S*l-"0O*)ZMEM input (O);ELSXFI3el="OZe > 二三E¥kmm ?工? wE^SXF I ael-"10*P TH£^y<-i npuiE ?学〉:yc-lnpuEqm):END IFJEND PR&Cr35:END ARCHITECTTURE rrl ::波形图:y<= input (2); Ey<= input (3);本次实验学习了组合逻辑电路、编码器的功能与定义,学习了Verilog和VHD语言,同时熟悉了利用Quartus II开发数字电路的根本流程和Quartus II 软件的相关操作,学会了使用Vector Wav被形仿真.实验过程中也遇到了很多自己不能解决的问题,在同学和老师的帮助下算是知道问题的所在,有待在今后的学习中不断完善.指导教师意见签名:年月日实验总结。

数据选择器极其应用实验报告

数据选择器极其应用实验报告

数据选择器的应用一、实验目的了解74LS00,74LS86,74LS153芯片的内部结构和功能;了解数据选择器的结构和功能;了解全加器和全减器的结构和功能;学习使用数据选择器(74LS153)设计全加器和全减器;进一步熟悉逻辑电路的设计和建立过程。

二、实验原理1.四选一数据选择器74LS153所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。

引脚排列如图3-3,功能如表3-2。

图3-3 74LS153引脚功能表3-2S1、S2为两个独立的使能端;A1、A0为公用的地址输入端;1D0~1D3和2D0~2D3分别为两个4选1数据选择器的数据输入端;Q1、Q2为两个输出端。

1)当使能端S1(S2)=1时,多路开关被禁止,无输出,Q=0。

2)当使能端S1(S2)=0时,多路开关正常工作,根据地址码A1、A0的状态,将相应的数据D0~D3送到输出端Q。

如:A1A0=00 则选择DO数据到输出端,即Q=D0。

A1A0=01 则选择D1数据到输出端,即Q=D1,其余类推。

数据选择器的用途很多,例如多通道传输,数码比较,并行码变串行码,以及实现逻辑函数等。

2.实现全加器:列出全加器的真值表:S 真值表:得到o C 真值表:对S 的真值表进行降维,得到:对o C 的真值表进行降维,得到:使用数据选择器实现时,D0,D1,D2,D3分别代表四选一数据选择器的四个输入端,并用A,B 作控制端,电路图如下图:图一0(D0)i C (D2)i C (D1)1(D3)一.实验内容1.按图一搭建逻辑电路,测试实验结果,与真值表进行对照。

*该过程中应注意:实验室所提供的器件与非门并不够用,需要用一个异或门改装成非门,如下图:F=⊕=AA1四.实验收获1.学会了全加器全减器的设计过程,为以后更好的应用打好了基础;2.更加了解了逻辑电路的设计流程;3.搭建逻辑电路的过程中,一定要小心翼翼操作,防止任何错误。

实验四 数据选择器及其应用

实验四 数据选择器及其应用

学生实验报告系别电子工程学院课程名称数字电子技术实验班级11通信1班实验名称数据选择器及其应用姓名钟伟纯实验时间2012年11月15日学号201141302114 指导教师张宗念报告内容一、实验目的和任务1、掌握数据选择器的逻辑功能和使用方法。

2、学习用数据选择器构成组合逻辑电路的方法。

二、实验原理介绍数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去。

实现数据选择功能的逻辑电路称为数据选择器。

它的功能相当于一个多个输入的单刀多掷开关,其示意图如下:图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中一路数据送至输出端Q。

1、八选一数据选择器74LS15174LS151是一种典型的集成电路数据选择器,它有3个地址输入端CBA,可选择D0~D7这8个数据源,具有两个互补输出端,同相输出端Y和反相输出端WN。

其引脚图如下图11-2所示,功能表如下表11-1所示,功能表中‘H’表示逻辑高电平;‘L’表示逻辑低电平;‘×’表示逻辑高电平或低电平:图11-2 74LS151的引脚图表表11-1 74LS151的功能表2、双四选一数据选择器74LS15374LS153数据选择器有两个完全独立的4选1数据选择器,每个数据选择器有4个数据输入端I0~I3,2个地址输入端S0、S1,1个使能控制端E和一个输出端Z,它们的功能表如表11-2,引脚逻辑图如图11-3所示。

其中,EA、EB使能控制端(1、15脚)分别为A路和B路的选通信号,I0~I3为四个数据输入端,ZA(7脚)、ZB(9脚)分别为两路的输出端。

S0、S1为地址信号,8脚为GND,16脚为V CC。

3、用74LS151组成16选1数据选择器用低三位A2A1A0作每片74LS151的片内地址码, 用高位A3作两片74LS151的片选信号。

当A3=0时,选中74LS151(1)工作, 74LS151(2)禁止;当A3=1时,选中74LS151(2)工作, 74LS151(1)禁止,如下图所示。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

电学实验报告模板
实验原理
数据选择器的功能类似一个单刀多掷开关,如图1所示。

数据选择器在地址码的控制下,从多路数据输入中选择其中一个并将其送到一个公共的输出端。

图1 数据选择器示意图
1. 4选1数据选择器
图2 4选1数据选择器及其逻辑
图2所示为4选1数据选择器及其逻辑。

该电路有4路输入数据和为地址输入。

为使能控制端,当时,数据选择器正常工作;当时,数据选择器的输出被锁定在“0”,不能选择。

由图2(b)可以得到该数据选择器的逻辑函数式为
(1)
2. 用4选1数据选择器扩展成8选1数据选择器
8选1数据选择器有8路数据输入,3位地址输入。

如果用4选1数据选择器实现8选1,需要2片4选1数据选择器,如图所示。

其中,是通过4选1数据选择器的使能控制端接入的。

由图5并根据式(1),可以得到
显然实现了8选1的逻辑功能。

图5 用4选1数据选择器扩展成8选1数据选择器实验仪器
实验内容及步骤
1. 测试和验证74HC153的逻辑功能
(1)集成电路芯片74HC153引脚图
74HC153是双4选1数据选择器,芯片内部包含两个独立的、完全相同的4选1数据选择器。

图7-5所示为引脚图。

每一个4选1数据选择器都设置了一个使能控制端。

两个4选1数据选择器共享地址输入端。

图6 74HC151引脚图
(2)测试和验证74HC153的逻辑功能
按图7连接电路。

实验数据记录在表7-1。

验证74HC153的逻辑功能。

图7 测试74HC151的逻辑功能实验电路
表1
(3)用一片74HC153扩展成8选1数据选择器
图8 74HC153扩展成8选1数据选择器实验电路按图8连接电路。

实验数据记录在表2。

验证电路的逻辑功能。

表2
实验结果及分析
1.实验结果
2.分析
该实验结果表明74HC153元件实现了4选1的数据选择功能
74HC153与74LS00两个4选1数据选择器拓展实现了8选1的逻辑功能
实验结论
1.74HC153具有4选1逻辑功能,能够实现数据选择,其有4路输入数据D0、D1、D2、D3,A0、A1为地址输入,为使能控制端,当时,数据选择器正常工作;当时,数据选择器的输出被锁定在“0”,不能选择。

从实验结果可见,利用指定A1A0的代码,能够从D0、D1、D2、D3这四个输入数据中选出任何一个并送到输出端。

因此,用数据选择器可以实现数据的多路分时传送。

2.用两个4选1数据选择器能够拓展成一个8选1数据选择器。

相关文档
最新文档