原理图编辑8位全加器实验报告

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

四、实验步骤

(一)创建工程

1、选择菜单file—New Project Wizard,选择保存位置,并命名工程名

2、将设计文件加入工程。

3、选择仿真器和综合类型,目标芯片EP2C5T144C8。

4、设置相关参数

(二)原理图设计

1、在QuartusII操作环境中,单击工具栏“File”选择“new”中的“Device Design Files”建立新的原理图编辑窗口。

2、在编辑窗口右击选择Insert——Symbol,将相关元件调入原理图编辑窗口中,并连接好电路,在元件上双击后可以更改各输入引脚名。

3、保存到工程建立的目录文件夹

4、将设计项目设置成可调用的文件。在打开原理图文件的情况下,选择File—Create/Update—Create Symbol Files for Cureent File,即可将当前文件变成一个元件符号存盘,以待在高层次设计中调用。如半加器h_adder.bdf,一位全加器f_adder..bdf (详见实验图)

(三)全程编译

设置好相关参数后,将设计好的工程文件进行编译,若无错误,则可进行下一步的时序仿真操作,如有错误,则需按照提示错误进行改正,直至无误。

(四)时序仿真

1、打开文件波形编辑器,新建波形文件。

2、设置仿真时间区域,保存。

3、将工程的端口信号名选入波形编辑器中。View—Uility Windows—Node Finder —list,将有关端口引脚拖进波形编辑器。

4、编辑输入波形,设置参数后,启动仿真器,观察结果。

五、实验结果(各层次原理图及对应的仿真图)

图1半加器原理图(h_adder)

图3 一位全加器原理图(f_adder)

图4 一位全加器仿真波形图

图5 八位全加器原理图

六、一位全加器仿真表分析

逻辑式:cin

=

um()bin

S⊕

ain

bin

+

=

Cout∙

ain

cin

bin

ain

其余分析(略)

七、总结

1、通过8位全加器设计实验,学会了利用QuartusII的原理图输入方法设计组合电路,并完成全程编译与仿真运行。

2、掌握层次化设计的方法及相关流程。

相关文档
最新文档