-EDA课设报告
eda课程设计5篇[修改版]
![eda课程设计5篇[修改版]](https://img.taocdn.com/s3/m/a1aef8d5dd36a32d72758100.png)
第一篇:eda课程设计数字钟一、设计要求设计一个数字钟,具体要求如下:1、具有时、分、秒计数显示功能,以24小时循环计时。
2、具有清零、校时、校分功能。
3、具有整点蜂鸣器报时以及LED花样显示功能。
二、设计方案根据设计要求,数字钟的结构如图8-3所示,包括:时hour、分minute、秒second计数模块,显示控制模块sel_clock,七段译码模块deled,报时模块alert。
三、VHDL程序library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; useIEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM;--use UNISIM.VComponents.all;entityddz is port(rst,clk: in std_logic; hour_h: out std_logic_vector( 6 downto 0); hour_l: outstd_logic_vector( 6 downto 0); min_h: out std_logic_vector( 6 downto 0);min_l: out std_logic_vector( 6 downto 0);sec_h: out std_logic_vector( 6 downto 0);sec_l: out std_logic_vector( 6 downto 0)); endddz;architecture Behavioral of ddz is signalcnt: std_logic_vector(15 downto 0); signalsec_h_in: std_logic_vector( 3 downto 0); signalsec_l_in: std_logic_vector( 3 downto 0); signalmin_h_in: std_logic_vector( 3 downto 0); signalmin_l_in: std_logic_vector( 3 downto 0); signalhour_h_in: std_logic_vector(3 downto 0); signalhour_l_in: std_logic_vector(3 downto 0);signalclk_s,clk_m,clk_h: std_logic; begin process(rst,clk) begin if rst='0' then sec_h_in'0');sec_l_in'0');clk_msec_l_inifsec_h_in=5 thensec_h_inclk_melsesec_h_inclk_mend if; else sec_l_inclk_mend if; end if; end process;process(rst,clk_m) begin if rst='0' then-- min_h_in'0');min_l_in'0'); -- clk_hmin_l_inmin_h_inclk_mend if; else min_l_inend if; end if; end process;process(rst,clk_n) begin if rst='0' then-- hour_h_in'0');hour_l_in'0'); -- clk_hhour_l_inhour_h_inclk_nend if; else hour_l_inend if; end if; end process;process(sec_l_in) begin casesec_l_in iswhen "0000" =>sec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lprocess(sec_h_in) begin casesec_h_in iswhen "0000" =>sec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hprocess(min_l_in) begin casemin_l_in iswhen "0000" =>min_lmin_lmin_lwhen "0011" =>min_lmin_lmin_lmin_lmin_lmin_lmin_lmin_lprocess(min_h_in) begin casemin_h_in iswhen "0000" =>min_hmin _h min _hmin _hmin _h min _hmin _hmin _hmin _hmin _hmin _hend case; end process;process(hour_l_in) begin casehour_l_in iswhen "0000" =>hour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lprocess(hour_h_in) begin casehour_h_in iswhen "0000" =>hour_hhour_hhour_hhour_h hour _h hour _h hour _h hour _h hour _hhour_h hour _h四、VHDL仿真结果五、课程设计心得通过这次课程设计,有效得巩固了课本所学的知识,而且通过上机仿真不断发现问题并及时改正,加深了我们对该课程设计的印象。
EDA课程设计报告--四位加法器设计

《EDA》课程设计题目:四位加法器设计学号: 200906024245姓名:梁晓群班级:机自094指导老师:韩晓燕2011年12月28日—2011年12月30日目录摘要----------------------------------3EDA简介---------------------------3概述----------------------------------4 1.1目的与要求-------------------4 1.2实验前预习-------------------41.3设计环境----------------------5四位全加器的设计过程----------52.1 半加器的设计-----------------62.2一位全加器的设计-----------92.3四位全加器的设计----------11收获与心得体会----------------13摘要本文主要介绍了关于EDA技术的基本概念及应用,EDA设计使用的软件Quartus7.2的基本操作及使用方法,以及半加器、1位全加器和四位全加器的设计及仿真过程。
EDA简介EDA的概念EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作.EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。
EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。
EDA课程设计报告

EDA课程设计报告实训任务:一、实训目的和要求:(1)熟练掌握keil c51集成开发环境的使用方法(2)熟悉keil c51集成开发环境调试功能的使用和dp?51pro。
net单片机仿真器、编程器、实验仪三合一综合开发平台的使用。
(3)利用单片机的p1口作io口,学会利用p1口作为输入和输出口。
(4)了解掌握单片机芯片的烧写方法与步骤。
(5)学会用单片机汇编语言编写程序,熟悉掌握常用指令的功能运用。
(6)掌握利用protel 99 se绘制电路原理图及pcb图。
(7)了解pcb板的制作腐蚀过程。
二、实训器材:pc机(一台)pcb板(一块)520ω电阻(八只)10k电阻(一只)led发光二极管(八只)25v 10μf电容(一只)单片机ic座(一块)at89c51单片机芯片(一块)热转印机(一台)dp?51pro。
net单片机仿真器、编程器、实验仪三合一综合开发平台(一台)三、实训步骤:(2)将流水灯程序编写完整并使用tkstudy ice调试运行。
(4)打开电源,将编写好的程序运用tkstudy ice进行全速运行,看能否实现任务要求。
(6)制板。
首先利用protel 99 se画好原理图,根据原理图绘制pcb图,然后将绘制好的pcb布线图打印出来,经热转印机转印,将整个布线图印至pcb 板上,最后将印有布线图的pcb板投入装有三氯化铁溶液的容器内进行腐蚀,待pcb板上布线图外的铜全部后,将其取出,清洗干净。
(7)焊接。
将所给元器件根据原理图一一焊至pcb板相应位置。
(8)调试。
先把at89c51芯片插入ic座,再将+5v电源加到制作好的功能板电源接口上,观察功能演示的整个过程(看能否实现任务功能)。
(流水灯控制器原理图)四、流水灯控制器程序的主程序:org 0000hsjmp startorg 0030hstart:mov a,0ffhmov r0,1chmov r2,12hclr cloop1:acall delaydjnz r0,loop,尽在。
EDA课程设计报告--EDA数字抢答器

学生课程设计报告课程名称:EDA数字抢答器目录一、课程设计目的 (3)二、课程设计题目描述和要求 (3)三、课程设计报告内容 (4)四、结论 (21)五、结束语 (22)六、参考书目 (22)一、课程设计目的.设计一个可容纳4组参赛的数字式抢答器。
通过此次设计熟练掌握VHDL 语言,并掌握设计所用的软件。
二、课程设计题目描述和要求在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者通常设置一台抢答器,通过数显、灯光及音响等多种手段指示出第一抢答者。
同时,还可以设置计分、犯规及奖惩计录等多种功能。
本设计的具体要求是:(1) 设计制作一个可容纳四组参赛者的数字智力抢答器,每组设置一个抢答按钮供抢答者使用。
(2) 电路具有第一抢答信号的鉴别和锁存功能。
(3) 系统具有计分电路。
(4) 系统具有犯规电路。
系统设计方案:系统的输入信号有:各组的抢答按钮A、B、C、D,系统清零信号CLR,系统时钟信号CLK,计分复位端RST,加分按钮端ADD,计时预置控制端LDN,计时使能端EN,计时预置数据调整按钮可以用如TA、TB表示;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口可用如LEDA、LEDB、LEDC、LEDD表示,四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。
整个系统至少有三个主要模块:抢答鉴别模块;抢答计时模块;抢答计分模块,其他功能模块(犯规警告模块,输出显示模块)。
三、课程设计报告内容按照要求,我们可以将整个系统分为四个主要模块:抢答鉴别模块;抢答计时模块;抢答计分模块;译码显示模块。
对于需显示的信息,需要增加或外接译码器,进行显示译码。
考虑到实验开发平台提供的输出显示资源的限制,我们将组别显示和计时显示的译码器内设,而将各组的计分显示的译码器外接。
整个系统的大致组成框图如图2.1所示。
图2.1 LED A LED B LED C LED D3.1抢答鉴别模块3.1.1抢答鉴别模块的功能抢答队伍共分为四组A,B,C,D。
EDA课程设计报告-格式

郑州航空工业管理学院
EDA课程设计报告题目
姓名
学号
指导教师
二О一一年月日
一.设计任务
主要说明你的设计任务及功能。
二.设计方案
主要说明用什么方法实现的,用到哪些元件等,画出电路原理图。
(照着发的板子测出来),说明电路工作原理。
三.设计程序
主要分析程序的设计流程,主要部分进行说明,并将完整程序附上。
四.总结及心得
总结设计过程有哪些问题,怎么解决的,还有什么需要完善的。
设计过程中有什么心得和收获。
电路板的管脚连接关系如下:
Seg0 seg1 seg2 seg3 seg4 seg5 seg6 (小数点seg7没有用到)
24 25 26 27 28 29 31
时钟GCLK1 43
Dig0 dig1 dig2 dig3
34 36 37 39
Key0 key1 key2
14 16 17。
eda课程设计实验小结

eda课程设计实验小结一、教学目标本课程的教学目标是使学生掌握EDA(电子设计自动化)的基本原理和实验技能,能够运用EDA工具进行简单的电子系统设计和仿真。
具体目标如下:1.知识目标:学生能够理解EDA的基本概念、发展历程和主要应用领域;熟悉常见的EDA工具及其基本操作。
2.技能目标:学生能够运用EDA工具进行电路设计、仿真和验证;能够进行简单的PCB设计和管理。
3.情感态度价值观目标:培养学生对电子技术的兴趣和好奇心,提高学生的问题解决能力和创新意识。
二、教学内容根据课程目标,教学内容主要包括以下几个部分:1.EDA基本概念和发展历程:介绍EDA的定义、发展历程和主要应用领域。
2.EDA工具的基本操作:以某一款常见的EDA工具为例,讲解其基本操作和功能。
3.电路设计和仿真:通过具体案例,讲解如何使用EDA工具进行电路设计和仿真。
4.PCB设计和管理:介绍PCB的基本概念,讲解如何使用EDA工具进行PCB设计和管理。
三、教学方法为了达到课程目标,我们将采用以下几种教学方法:1.讲授法:讲解EDA的基本概念、发展历程和主要应用领域。
2.案例分析法:通过具体案例,引导学生掌握EDA工具的基本操作和应用。
3.实验法:让学生亲自动手进行电路设计和仿真实验,提高其实践能力。
4.讨论法:鼓励学生积极参与课堂讨论,培养其创新意识和问题解决能力。
四、教学资源为了支持教学内容和教学方法的实施,我们将准备以下教学资源:1.教材:选用权威、实用的EDA教材,为学生提供系统、科学的学习材料。
2.参考书:提供一些精选的参考书籍,拓展学生的知识视野。
3.多媒体资料:制作精美的PPT、视频等多媒体资料,提高学生的学习兴趣。
4.实验设备:准备充足的实验设备,确保每个学生都能动手进行实验。
五、教学评估为了全面、客观地评估学生的学习成果,我们将采用以下评估方式:1.平时表现:通过观察学生在课堂上的参与程度、提问回答等情况,评估其学习态度和理解能力。
eda课程设计报告

eda课程设计报告一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握EDA工具的使用方法。
2. 学生能运用EDA软件进行电路设计与仿真,理解并掌握数字电路的设计原理。
3. 学生了解并掌握基础的硬件描述语言(如VHDL/Verilog),能完成简单的数字系统设计。
技能目标:1. 学生通过EDA软件的操作,培养电子电路设计、仿真与验证的实际操作能力。
2. 学生通过小组合作完成设计项目,提高团队协作与沟通技巧。
3. 学生能够运用所学知识解决实际问题,具备一定的创新意识和动手能力。
情感态度价值观目标:1. 学生在EDA课程学习中,培养对电子科学技术的兴趣和探究精神。
2. 学生通过课程实践,增强自信心和成就感,激发进一步学习的动力。
3. 学生在学习过程中,树立正确的工程伦理观念,认识到技术发展对社会的责任和影响。
课程性质:本课程为电子信息工程及相关专业高年级学生的专业核心课程,旨在通过理论与实践相结合的教学,提高学生的电子设计能力。
学生特点:学生已具备一定的电子技术基础,具有较强的学习能力和实践欲望,对新技术和新工具充满好奇心。
教学要求:结合学生特点,注重培养实际操作能力,鼓励学生创新思维,提高解决实际问题的能力。
通过课程目标分解,确保学生在知识、技能和情感态度价值观方面的全面成长。
后续教学设计和评估将以此为基础,关注学生的学习成果。
二、教学内容根据课程目标,教学内容分为以下三个模块:1. EDA基本概念与工具使用- 教材章节:第一章 EDA技术概述,第二章 EDA工具简介- 内容列举:EDA发展历程,常用EDA软件介绍,软件安装与配置,基本操作流程。
2. 数字电路设计与仿真- 教材章节:第三章 数字电路设计基础,第四章 仿真技术- 内容列举:数字电路设计原理,EDA软件电路设计流程,仿真参数设置,波形分析与验证。
3. 硬件描述语言与数字系统设计- 教材章节:第五章 硬件描述语言,第六章 数字系统设计实例- 内容列举:硬件描述语言基础,VHDL/Verilog语法要点,简单数字系统设计方法,设计实例分析与实操。
eda

EDA课程设计实验报告交通信号控制器的VHDL的设计一、设计任务及要求:设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。
要求:(1)交通灯从绿变红时,有4秒黄灯亮的间隔时间;(2)交通灯红变绿是直接进行的,没有间隔时间;(3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒;(4)在任意时间,显示每个状态到该状态结束所需的时间。
支干道主干道图1 路口交通管理示意图A B C D主干道交通灯绿(40秒)黄(4秒)红(20秒)红(4秒)支干道交通灯红红绿黄表1 交通信号灯的4种状态设计要求:(1)采用VHDL语言编写程序,并在QUARTUSII工具平台中进行仿真,下载到EDA实验箱进行验证。
(2)编写设计报告,要求包括方案选择、程序清单、调试过程、测试结果及心得体会。
二设计原理1、设计目的:学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。
通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制2’设计说明(1)第一模块:clk时钟秒脉冲发生电路在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。
因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。
模块说明:系统输入信号:Clk: 由外接信号发生器提供256的时钟信号;系统输出信号: full:产生每秒一个脉冲的信号;(2)第二模块:计数秒数选择电路计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。
模块说明:系统输入:full: 接收由clk电路的提供的1hz的时钟脉冲信号;系统输出信号:tm:产生显示电路状态转换信号tl:倒计数值秒数个位变化控制信号th:倒计数值秒数十位变化控制信号(3)第三模块:红绿灯状态转换电路本电路负责红绿灯的转换。
模块说明:系统输入信号:full: 接收由clk 电路的提供的1hz 的时钟脉冲信号; tm: 接收计数秒数选择电路状态转换信号; 系统输出信号: comb_out: 负责红绿灯的状态显示。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
流水线寄存器:
PC IFDC DCEX EXWB WBXX
全局模块:
PC(#32)
Instruction(#16) ALU_OP(#4), DW(#1),WB(#1), WBData_Sel(#1), Reg0(#32),Reg1(#32),Imm(#5),RegAddr0(#5),RegAddr1(#5)
WB(#1),WBData(#32),WBAddr(#5)
WB(#1),WBData(#32),WBAddr(#5)
加法器:
选择器: 译码器: Register File:
ALU: ByPass Controller:
3.3 流水 CPU 指令集
四、仿真结果
五、心得体会
这次课设最后的结果令自己感觉挺意外的,因为在刚开始课设前是觉得自 己最后可能都做不出来,但最后自己提前完成了课设,感觉挺有成就感的,收 获也很大。
• 3.2.2 控制电路 – 从数据通路接收指令码,进行译码产生控制信号; • 多路选择器的选择 • 寄存器堆栈写信号 • 流水线寄存器写信号 • 流水线寄存器清除信号 • 存储器写信号 • ALU 操作信号 • 运算指令(加减、逻辑运算)
运算指令(bypass)
ACLK
ADD r1, r0
IA
ST r2, r1
reg [3:0] reg reg reg
DC_ALU_OP; DC_WB, DC_DW, DC_LD; IFDC_W; IFDC_Flush;
//ALU operation code from decoder //writeback,store,load sigal from decoder //write signal for IFDC register //clear signal for IFDC register
三、体系机构设计
3.1 5 段流水线设计
3.2 设计步骤
• 3.2.1 数据通路的设计 – 数据流向 • 存储器 Instruction Memory, Data Memory, • 寄存器堆栈 Register File, • 流水线寄存器 Pipeline Register, • 运算器 ALU, • 多路选择器 multiplexers.
3.1 5 段流水线设计 .........................................................................5 3.2 设计步骤 .......................................................................................5 3.3 流水 CPU 指令集.......................................................................11 四、仿真结果........................................................................................... 12 五、心得体会........................................................................................... 14 参考文献...................................................................................................15 附录(代码)........................................................................................... 16
最后就完成了课程设计,整个过程中自己对硬件的编程有了进一步的认 识,也培养了自己对硬件开发的兴趣,最关键的是,自己调通了代码,也让我 增加了信心。在最后再次感谢张俊老师对我们的鼓励和耐心指导。
参考文献
[1] 潘松 黄继业 陈龙,《EDA 技术与 Verilog HDL》,清华大学出版社,2010 年 1 月
最 后 通 过 使 用 multisim10.1d 仿 真 各 个 组 件 通 过 , 并 使 用 quartus ii 完成连线并仿真。得到想要的结果
关键词:流水 CPU;EDA;RTL;精简指令集
一、课程设计目的
掌握硬件描述语言 Verilog HDL 的语法及设计技巧,了解 Quartus II 软件的 应用,学习 Quartus II 环境下设计 CPU 的基本过程;掌握 CPU 设计代码的含 义以及 CPU 的工作原理;了解 CPU 与内存 RAM 间的连接数据的传输过程;
中南大学
题 目: 课题名称: 指导老师: 学 号: 姓 名:
流水线 CPU 设计 EDA 课程设计报告
张俊 0903130323
胡慧
目录
摘要 ............................................................................................................. 3 一、课程设计目的 ....................................................................................4 二、课程设计要求 ....................................................................................4 三、体系机构设计 ....................................................................................5
ADD r1, r0
IA
xxx
ST r2, r1
ADD r1, r0
IA
xxx
xxx
ST r2, r1
IF
DC
EXΒιβλιοθήκη WBEX-EX ByPass
IA
IF
DC
EX
WB
IF
DC
EX
WB
WB-EX ByPass
IA
IF
DC
EX
WB
IF
DC
EX
WB
IA
IF
DC
EX
WB
体系结构设计总流程图:
3.2.3 组件设计 全局模块:
接下来便主要是编写程序了,在这个过程中,张老师提供了很多帮助,耐 心的解答我们的问题,帮我们看程序的问题,同时自己和同学在写代码的过程 中也会进行讨论,因此整个代码的完成过程还算是比较轻松的。
完成代码后,就是仿真调试的过程了,这个过程就整个课设来说应该是最令 人头疼的部分了,自己开始就感觉无从下手,在张老师帮忙调试了一个错误 后,自己便对调试找错误有了一些头绪,其实我从开始调试到最后调通的这个 过程是很神奇的,开始一直进行到 18 就出错了,自己按照老师的方法分析了下 后,并不是太清楚到底错误出在哪里,因为觉得那块的逻辑是正确的,各种细 节在自己的再三检查和确认后也没发现错误。就这样自己弄了一上午,也没能 解决问题,而之前和自己一起讨论一起写代码的同学运行的情况比我的好很 多,于是我就想着对比下自己和她的代码,来发现错误。对比代码后发现我们 整体的逻辑框架都是一样的,不同的就在于立即数的处理那块,她是先赋值给 一个变量,这个变量在复位时会清零,我是直接使用变量,我仔细想了下,那 块应该确实是有影响的,不然复位这个操作就对该变量没有影响,我在做了修 改后,终于仿真过了 18,接着又停在了 38。而接着对比代码,发现区别就在于 IFDC_Flush 下的处理不同,她是只将变量清零了,而我还将控制信号也清零 了,在做了修改后,竟然一下子就成功了,显示 Simulation completely successful。看到这个结果自己欣喜万分,但同时也觉得挺震惊的,因为在自 己的意识里,是觉得复位,清空这些操作影响不大的,当不确定时就把所有的 信号变量都做处理,但自己这次的主要问题就是出在了复位和清空上。
摘要
本次课程设计基于 EDA,通过 quartus II 软件,学习了解流水 CPU 的内部 结构及工作原理,通过自顶向下的设计方法设计了 5 级流水 CPU 并进行仿真。
本设计将 CPU 分为取址令阶段(IA、IF)、译址令阶段(DC)、指令执行阶 段(EX)和数据回写阶段(WB)。根据精简指令集对流水 CPU 进行体系结构设 计并进行 RTL 编码。 由于使用到了五级流水,我打算将整个部分分成五个模块,用于处理各个时期系 统将做的工作,其中每个模块块代表一个时间周期的寄存器及其逻辑器件,模块 之间使用相对应的控制相连接。
reg [31:0] wire [31:0]
RegFile[31:0]; Reg0, Reg1;
//PC register //new data for PC //select signal for PC
//pipeline register for IFDC //branch condition from decoder //register file //operand 0 and 1
课设开始时,老师先讲了 CPU 的基本流程,自己听着有些晕乎,和同学 讨论以及向老师请教后,觉得对整体的框架有了一定的认识,在这个时候就觉 得其实这整个过程的完成和之前课上学到过的状态机其实差不多,只不过是细 节更多。在有了这样的认识后,自己便决定开始动手写第一部分的代码。第一 部分逻辑简单,但因为距离 EDA 课程结束时间较长,因此一些基本的语法细节 都忘的差不多了,这也告诉我们自己平时要多练习。
附录(代码):
module RISC16(CLK, Rstn, InstAddr, Inst, DW, DAddr, WData, RData);