加减法运算电路设计

加减法运算电路设计
加减法运算电路设计

加减法运算电路设计

电子课程设 ——加减法运算电路设计¥ 学院:电信息工程学院; 专业:电气工程及其自动化 班级: 姓名: 学号: 指导老师:闫晓梅 2014年12月 19日

加减法运算电路设计 一、设计任务与要求 # 1.设计一个4位并行加减法运算电路,输入数为一位十进制数, 2.作减法运算时被减数要大于或等于减数。 灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算模式,运算完毕,所得结果亦用数码管显示。 4.系统所用5V电源自行设计。 二、总体框图 1.电路原理方框图: % 图2-1二进制加减运算原理框图 2.分析: 如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010), 如(1001) 2和(0111) 2 ,同时在两个七段译码显示器上显示出对应的十进制数 9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,

所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 例如: 若选择加法运算方式,则(1001) 2+(0111) 2 =(10000) 2 十进制9+7=16, 并在七段译码显示器上显示16; 若选择减法运算方式,则(1001) 2-(0111) 2 =(00010) 2 十进制9-7=2, 并在七段译码显示器上显示02。 三、选择器件 ~ 1.器件种类: } ^ 表3-1 2.重要器件简介: (1)[ (2). 4位二进制超前进位加法器74LS283:完成加法运算使用该器件。 1).74LS283 基本特性:供电电压:输出高电平电流:输出低电平电流: 8mA。 2).引脚图:

设计一个一位十进制加减法++数字电路课程设计报告

课程设计报告 课程:微机系统与接口课程设计学号: 姓名: 班级: 教师:

******大学 计算机科学与技术学院 设计名称:设计一个一位十进制加减法器 日期:2010年1月 23日 设计内容: 1、0-9十个字符和“+”“-”分别对应一个按键,用于数据输入。 2、用一个开关控制加减法器的开关状态。 3、要求在数码显示管上显示结果。 设计目的与要求: 1、学习数字逻辑等电路设计方法,熟知加减法器、编码器、译码显示的工作原理及特点; 2、培养勤奋认真、分析故障和解决问题的能力。 设计环境或器材、原理与说明: 环境:利用多功能虚拟软件Multism8进行电路的制作、调试,并生成文件。器材:74LS283或者4008, 4个异或门(一片74LS86)(减法);74LS08,3输入或门(加法) 设计原理: 图1二进制加减运算原理框图 分析:如图1所示,第一步置入两个四位二进制数(要求置入的数小于1010), 如(1001) 2和(0111) 2 ,同时在两个七段译码显示器上显示出对应的十进制数 9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,

所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 设计过程(步骤)或程序代码: 实验电路: 1:减法电路的实现: (1):原理:如图1所示(如下),该电路功能为计算A-B。若n位二进制 原码为N 原,则与它相对应的补码为N 补 =2n-N 原 ,补码与反码的关系式为N 补 =N 反 +1, A-B=A+B 补-2n=A+B 反 +1-2n (2):因为B○+1= B非,B○+0=B,所以通过异或门74LS86对输入的数B求 其反码,并将进位输入端接逻辑1以实现加1,由此求得B的补码。加法器相加的结果为: A+B 反 +1, (3):由于2n=24=(10000) 2 ,相加结果与相2n减只能由加法器进位输出信号完成。当进位输出信号为1时,它与2n的差为0;当进位输出信号为0时,它与2n差值为1,同时还要发出借位信号。因为设计要求被减数大于或等于减数,所以所得的差值就是A-B差的原码,借位信号为0。

加减法运算电路设计

电子课程设 ——加减法运算电路设计 学院:电信息工程学院 专业:电气工程及其自动化 班级: 姓名: 学号: 指导老师:闫晓梅 2014年12月19日

加减法运算电路设计 一、设计任务与要求 1.设计一个4位并行加减法运算电路,输入数为一位十进制数, 2.作减法运算时被减数要大于或等于减数。 3.led灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算 模式,运算完毕,所得结果亦用数码管显示。 4.系统所用5V电源自行设计。 二、总体框图 1.电路原理方框图: 图2-1二进制加减运算原理框图 2.分析: 如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010), 如(1001) 2和(0111) 2 ,同时在两个七段译码显示器上显示出对应的十进制数 9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。

例如: 若选择加法运算方式,则(1001)2+(0111)2=(10000)2 十进制9+7=16,并在七段译码显示器上显示16; 若选择减法运算方式,则(1001)2-(0111)2=(00010)2十进制9-7=2,并在七段译码显示器上显示02。 三、选择器件 1.器件种类: 表3-1 2.重要器件简介: (1) . 4位二进制超前进位加法器74LS283:完成加法运算使用该器件。 1).74LS283 基本特性:供电电压: 4.75V--5.25V 输出高电平电流: -0.4mA 输出低电平电流: 8mA 。 2).引脚图: 图3-1 引出端符号: A1–A4 运算输入端 B1–B4 运算输入端 C0 进位输入端 序号 元器件 个数 1 74LS283D 2个 2 74LS86N 5个 3 74LS27D 1个 4 74LS04N 9个 5 74LS08D 2个 6 七段数码显示器 4个 7 74LS147D 2个 8 开关 19个 9 LM7812 1个 10 电压源220V 1个 11 电容 2个 12 直流电压表 1个

加减法运算电路设计

加减法运算电路设计 1.设计内容及要求 1.设计一个4位并行加减法运算电路,输入数为一位十进制数,且作减法运算时被减数要大于或等于减数。 2.led 灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算模式,运算完毕,所得结果亦用数码管显示。 3.提出至少两种设计实现方案,并优选方案进行设计 2.结构设计与方案选择 2.1电路原理方框图 电路原理方框图如下 → → 图1-1二进制加减运算原理框图 如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010),如(1001)2和(0111)2,同时在两个七段译码显示器上显示出对应的十进制数9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 即: 若选择加法运算方式,则(1001)2+(0111)2=(10000)2 十进制9+7=16 并在七段译码显示器上显示16. 若选择减法运算方式,则(1001)2-(0111)2=(00010)2十进制9-7=2 置数 开关选择运算方式 加法运算电路 减法运算 电路 译码显示计算结果 显示所置入的两个一位十进制数

并在七段译码显示器上显示02. 2.2加减运算电路方案设计 2.2.1加减运算方案一 如图2-2-1所示:通过开关S2——S9接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U13和U15分别显示所置入的两个数。数A 直接置入四位超前进位加法器74LS283的A4——A1端,74LS283的B4——B1端接四个2输入异或门。四个2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关S6——S9,通过开关S6——S9控制数B的输入。当开关S1接低电平时,B与0异或的结果为B,通过加法器74LS283完成两个数A和B的相加。当开关S1接高电平时,B与1异或的结果为B非,置入的数B在74LS283的输入端为B的反码,且74LS283的进位信号C0为1,其完成S=A+B (反码)+1,实际上其计算的结果为S=A-B完成减法运算。由于译码显示器只能显示0——9,所以当A+B>9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001)时加上6(0110),产生的进位信号送入译码器U10来显示结果的十位,U11显示结果的个位。由于减法运算时两个一位十进制数相减不会大于10,所以不会出现上述情况,用一片芯片U11即可显示结果。 2.2.2加减运算方案二 由两异或门两与门和一或门组成全加器,可实现一位二进制加逻辑运算,四位二进制数并行相加的逻辑运算可采用四个全加器串行进位的方式来实现,将低位的进位输出信号接到高位的进位输入端,四个全加器依次串行连接,并将最低位的进位输入端接逻辑“0”,就组成了一个可实现四位二进制数并行相加的逻辑电路。 通过在全加器电路中再接入两个反相器可组成一个全减器,实现一位二进制减逻辑运算,将来自低位的错位信号端接到向高位借位的信号端,依次连接四个全减器,构成可实现四位二进制数并行进行逻辑减运算的电路。 在两组电路置数端接开关控制置数输入加法还是减法运算电路,电路输出端接LED灯显示输出结果,输出为五位二进制数。

加减运算电路设计

本科生实验报告 课程名称:模拟电子技术实验A 实验名称:加减运算电路设计 学院: 专业班级: 学生姓名: 学号: 实验时间: 实验地点: 指导教师:

根据反相与同相加法运算电路的运算关系,输出电压与各个输人电压的运算的关系为 单运放加减运算电路的外电路阻值不易计算和调整,双运放电路不仅克服了,上述缺点,而且对运放本身共模抑制比的要求也较低,如图6-2-2所示。 根据反相求和电路输出与输入关系,可得 若取RF1=R4,则

实验内容及步骤: 设计一个能完成的运算电路。要求选用单运放加减电路实现,其输出失调电压 1.电路形式及集成运算放大器的选择 电路形式如图6-2-1所示,集成运算放大器采用μA741,其输人失调电流=100~300nA 2.元器件参数的计算 (1)反馈电阻Rp的计算。Rp的最大值由运放允许的输出失调电压 和输人失调电流决定,即 其中,的大小按手册给定值或实测;为设计要求之一,包括输人失调电压,所引起的,而。与各电阻有关,故。为未知,所以只能按式(6-2-5) 取RF的值。 若未提此项要求,则Rr可在低于1MΩ内选取。RF值不宜过大,因为RF值越大,误差电压和噪声及漂移也越大; RF值也不宜过小,因为RF是负载的一部分,若过小,运放容易过载。 题意取,则 取RF=30kΩ (2)R1、R2、R3、R4的确定。设反向端、同向端各自输人信号为零时的直流等效电阻 RN、RP的值相等,可按反相求和原则计算R1、R2、R3、R4的值。

根据题目要求,则 (3)电阻R5的确定。R5是使RN=RP的平衡电阻,故首先计算在不包括R5时的反相端,同相端各自输入信号为零时的直流等效电阻RA和RB,即 4.电路的安装与调试 (1)静态的测试检查。 1)按电路图6-2-1搭接好实验电路,并细心检查运放组件各管脚位置的连接,切忌正负电源极性接反和输出端短路,否则会损坏集成块,确认无误后方可接通直电源。 2)将输入端接地,用万用表直流电压挡的相应量程测量输出端;此时,如果万用表显示不为零,则需要调整调零电位器旋钮,使输出端电压为零,在调零过程中,万用表的量程应从2V开始逐步变小,直至在毫伏级的量程下,测量输出为零时,结果最精确。此后的测量应保持电位器滑动端位置不变。 (2)动态测试。 1)当静态检查正常以后,将直流电源切断,输人端与“地”断开。 2)先对各输入信号电压进行初测,使其不超过规定的数值,然后

简易加减法计算器

电子技术课程设计 题目:简易加减法计算器 一、设计课题:简易加减法计算器 二、设计任务和要求: 1、用于两位以下十进制数的加减运算。 2、以合适方式显示输入数据及计算结果。 三、原理电路设计 1、方案的比较 对于简单加减计算器可有三种不同的方案 ①用数/模转换,与模拟电路中的加减计算器进行简单的加减计 算。先用74LS147二-十进制优先编码器转化为二进制进行输A,然后数模转化模拟信号,进行加减计算后,转化为数字信号输 出。 此方案思路较明确,但经过二次数模相互转换,精确率较低; 具体输出时的负数效应,与单输入的二进制转化为十进制时电 路较复杂,无成块的集成电路,致使误差率较大。 ②可用数字电路中4位超前进位加法器74LS283与方案一输入相 同;后用三态输出CMOS门电路进行选择输入,进行加法运算

后输出,输出时,注意负数的问题与在输出中2进制与10进制关系的问题。还有寄存器的问题。 此方案思路明确,比较精确,此中的2进制与10进制问题需复杂门电路解决无现成集成元件,存在太多的散元件。减法运算需要反码进行运算,况且在其触发过程中需要考虑同步问题。 ③可运用数字电路中的单时钟同步十进制加/减计数器74LS190 进行加减计算。方案以上升沿进行输入,触发加减计算。本方案输入方式不同于一般输入方式,需要有所改进。但思路明了,不十分复杂,对于负数运算较复杂,可集成程度较高。 终上所述,最好是相互结合,以③为本。 2、单元电路设计

3、元件的选择

对于计数器来说需要选同时可以进行加减计数的计数器进行 加减,因此选用单时钟十进制加/减计数器74LS190. 其电路图及功能表如下: 中间由于1/0的输出不能够持久的进行保持,因此可用RS触 发器进行保持。对于加/减,等于触发需要74LS194进行触发 保持 4、整体电路(见附图) 5、工作原理 主要运用十进制加/减计数器74LS190加/减计数功能与74LS194的触发 功能。 六、设计总结 我们以为,在这学期的实验中,在收获知识的同时,还收获了阅历,收获了成熟,在此过程中,我们通过查找大量资料,请教别人,以及不懈的努力,不仅培养了独立思考、动手操作的能力,在各种其它能力上也都有了提高。更重要的是,在实验课上,我们学会了很多学习的方法。而这是日后最实用的,真的是受益匪浅。要面对社会的挑战,只有不断的学习、实践,再学习、再实践。而且,这对于我们的将来也有很大的帮助。以后,不管有多苦,我想我们都变苦为乐,找寻有趣的事情,发现其中珍贵的事情。就像中国提倡的艰苦奋斗一样,我们都可以在实验结束之后变的更加成熟,会面对需要面对的事情。 因为由于时间的紧缺和许多课业的繁忙,并没有做到最好,但是,最起码我们没有放弃,它是我们的骄傲!相信以后我们会以更加积极地态度对待我们的学习、对待我们的生活。我们的激情永远还会结束,

加减法运算电路的课程设计

加减法运算电路的设计 一、设计任务 设计参数 设计一个一位十进制并行加(减)法运算电路;通过按键输入被减数和减数,并设置+、-号按键;允许减数大于被减数,负号可采用数码管或其他显示器件,并利用LED灯显示计算结果。 设计要求 根据技术参数设计电原理图;计算并选择电路元件及参数;仿真调试电路。 二、设计方案 设计电路原理: 1、置入两个四位二进制数。例如(1011)2,(0011)2和(0111)2,(0110)2,同时在两个七段译码显示器上显示出对应的十进制数10,3和7,6 2、通过开关选择加(减)运算方式 3、若选择加运算方式所置数送入加法运算电路进行运算;若选择减运算方式,则所置数送入减法运算电路运算 4、前面所得结果通过另外两个七段译码器显示 即显示结果: 若选择加法运算方式,则(0011)2+(0110)2=(1010)2 十进制3+6=9 并在七段译码显示器上显示 9 若选择减法运算方式,则(0101)2-(1000)2=(10011)2十进制5-8= -3 并在七段译码显示器上显示 -3 设计电路运算方案: 通过开关S1——S8接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U15和U16分别显示所置入的两个数。数A直接置入四位超前进位加法器74LS283的A4——A1端,74LS283的B4——B1端接四个2输入异或门。四个2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关S5——S8,通过开关S5——S8控制数B的输入。当开关S1接低电平时,B与0异或的结果为B,通过加法器74LS283完成两个数A和B的相加。当开关S1接高电平时,B与1异或的结果为B非,置入的数B在74LS283的输入端为B 的反码,且74LS283的进位信号C0为1,其完成S=A+B(反码)+1,实际上其计算的结果为S=A-B完成减法运算。由于译码显示器只能显示0——9,所以当A+B>9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001)2时加上3(0011)2,产生的进位信号送入译码器U13来显示结果的十位,U12显示结果的个位。由于减法运算时两个一位十进制数相减不会大于10,所以不会出现上述情况,用一片芯片U12即可显示结果。 三、电路设计 加法电路的实现 用两片4位全加器74LS283和门电路设计一位8421BCD码加法器。 由于一位8421BCD数A加一位数B有0到18这十九种结果。而且由于显示的关系,当大于9的时候要加六转换才能正常显示。

加减法运算器的设计与实现

计算机组成原理实验实验二加减法运算器的设计与实现 专业班级:计算机科学与技术 学号:0936008 姓名:冯帆 学号:0936036 姓名:张琪 实验地点:理工楼901

实验二加减法运算器的设计与实现 一、实验目的 1、掌握加减法运算器的原理图设计方法 2、掌握加减法运算器的V erilog HDL语言描述方法 3、理解超前进位算法的基本原理 4、掌握基于模块的多位加减运算器的层次化设计方法 5、掌握溢出检测方法和标志线的生成技术 6、掌握加减运算器的宏模块设计方法 二、实验内容 1、完成一个4位行波进位的加减法运算器,要求有溢出和进位标志(参阅P75-82 ,P86),并封装成模块。 2、修改上述加减运算器改为超前进位加法运算器,并封装成模块。(参阅P72-75) 3、在上述超前进位加法运算器的基础上,用基于模块的层次化设计方法,完成一个16位行波进位的加法运算器。//组内超前进位,组间行波进位 4、用宏模块的方法实现一个8位加减运算器。 三、实验仪器及设备: PC机+ QuartusⅡ9.0 + DE2-70 四、实验步骤 1、新建工程。 2、新建verilog文件。

3、分析寄存器程序代码并编译。 附代码如下: /*四位行波进位加减法器*/ `define WEISHU 4 module hbjw(a,b,cin,sub,cout,s,overflow); input [`WEISHU-1:0]a; input [`WEISHU-1:0]b; input cin; input sub; output cout; output [`WEISHU:0]s; output overflow; wire w_0; wire w_1; wire w_2; wire w_3; wire [`WEISHU:0]w; assign w=sub?(~b+1'b1):b; assign {w_0,s[0]}=a[0]+w[0]+cin;

加减运算电路的设计及分析

实验2《电子技术》课程设计任务书 设计工作计划 本设计时间为2天,具体安排如下: 熟悉课设目标,查阅相关资料,对相关理论进行剖析:天 设计电路图,计算相关参数,根据电路图进行仿真与测量:1天 撰写报告:天

1. 实验原理 通常在分析运算电路时均设集成运方位理想运放,因而其输入端的净输入电压和净输入电流均为0,即具有“虚短路”和“虚断路”两个特点,这是分析运算电路输出电压和输入电压关系的基本出发点。 从对比例运算电路的分析可知,输出电压与同相输入信号电压极性相同,与反相输入端电压极性相反,因而如果多个信号同时作用于两个输入端,那么必然可以实现加减运算电路。 第一级电路实现加减运算,第二级电路通过运用反响比例运算电路来放大第一级的输出信号。 图(a ) 根据虚断iN=iP=0 (1) 虚短UN=UP (2) iN=(U1-UN )/R1+(U2-UN )/R2-(Uo1/Rf1-UN ) (3) iP=(U3-UP )/R3 (4) 根据式(1)(2)(3)(4)可知,当满足R1//R2//Rf=R3时 Uo1=Rf1(U3/R3-U2/R2-U1/R1) OPAMP_3T_VIRTUAL Rf1100kΩ 图(b )这是一个电压串联负反馈电路 根据电路分析可得U02=-Uo1*Rf2/R5 将两级电路连到一起,可得

U1 OPAMP_3T_VIRTUAL U2 OPAMP_3T_VIRTUAL R1 50kΩ R2 50kΩ R3 40kΩ Rf1 100kΩ R5 40kΩ R6 20kΩ Rf2 40kΩ R4 40kΩ 代入各具体数值可得Uo2=(2Uo1+) 2.用软件的仿真结果 U1 OPAMP_3T_VIRTUAL U2 OPAMP_3T_VIRTUAL R1 50kΩ R2 50kΩ R3 20kΩ Rf1 100kΩ R5 40kΩ R6 20kΩ Rf2 40kΩ XSC1 A B C D G T XFG1 XFG2 XFG3 实验结论 当U1=,U2=,U3=时,Uo2=,与仿真实验结果一样。

加减法运算电路的设计方法

加减法运算电路的设计方法 摘要:给出了任意比例系数的加减法运算电路,分析了比例系数与平衡电阻、反馈电阻的关系。目的是探索比例系数任意取值时加减法运算电路构成形式的变化。结论是在输入端电阻平衡时,各加运算输入信号比例系数之和与各减运算输入信号比例系数之和的差值在大于1、小于1或等于l情况下,加减法运算电路还可简化。所述方法的创新点是将运放输入端电阻的平衡条件转化为与输入信号比例系数的关系,从而可直观确定简化电路形式:扩大了加减法运算电路的应用范围。关键词:加减法运算电路;比例系数;平衡条件0 引言加减法运算电路以集成运算放大器为核心元件构成,多个输入信号分别作用于运放的同相输入端和反相输入端,实现对输入信号的加、减法运算,外部电阻决定输入信号的比例系数。加减法运算电路中运放的输入端有共模信号成分,为使共模输出为零,同时补偿运放输入平均偏置电流及其漂移影响,通常要求运放的输入端电阻平衡,即运放反相输入端、同相输入端所接的电阻相等。本文给出了任意比例系数的加减法运算电路,并指出在输入端电阻平衡时,根据输入信号比例系数的数值范围,加减法运算电路还可简化。1 任意比例系数的加减法运算电路所给出的任意比例系数的加减法运算电路。其中,u111、u112、…u11n 为n个减运算输入信号,u121、u122、…u12m为m个加运算输入信号,u0为输出信号,R11、R12、…R1n、R21、R22、…R2m为输入端电阻,RF为反馈电阻,Rp为平衡电阻,R’为附加电阻。运放输入端电阻的平衡条件为 式(5)反映了输入信号比例系数与附加电阻、平衡电阻、反馈电阻的关系,表明在满足电阻平衡的条件下,各加运算输入信号比例系数之和与各减运算输入信号比例系数之和的差值可以大于l、小于1或等于1,即输入信号的比例系数无限定。根据输入信号比例系数的数值范围,加减运算电路还可简化。2 比例系数加减结果特定取值时的电路简化方案2.1 各加运算输入信号比例系数之和与各减运算输入信号比例系数之和的差值大于1的加减运算电路当各输入信号的比例系数关系为 时,可令式(5)中电阻Rp→∞,即图1所示电路中去掉电阻Rp,由式(5)中实现大于1的平衡条件。2.2 各加运算输入信号比例系数之和与各减运算输入信号比例系数之和的差值小于1的加减运算电路当各输入信号的比例系数关系为时,可令式(5)中电阻R’→∞,即图1所示电路中去掉电阻R’,由式(5)中实现小于1的平衡条件。2.3 各加运算输入信号比例系数之和与各减运算输入信号比例系数之和的差值等于1的加减运算电路当各输入信号的比例系数关系为时,可令式(5)中电阻R’→∞,Rp→∞,即图1所示电路中去掉电阻R’及Rp。3 设计步骤及举例3.1 设计步骤 (1)由参与运算的各输入信号比例系数加、减的数值范围确定电路形式; (2)由运算关系及平衡条件确定外部各个电阻值。3.2 设计举例例1,试设计实现u0=2u121+3u122-u111运算关系的加减运算电路。将所要实现的运算关系式与式(4)对比,确定式(4)中各输入信号的比例系数为因,确定所设计电路的形式为图1中去掉电阻Rp,按三个输入信号重画。选取Rp=120kΩ,代入各输入信号的比例系数表达式中,解出 R21=60kΩ,R22=40kΩ,R11=120kΩ 由式(5)并考虑Rp→∞,有代入各输入信号的比例系数,有解出R’=40kΩ。例2,试设计实现u=2u121-3u111-u112运算关系的加减运算电路。将所要实现的运算关系式与式(4)对比,确定式(4)中各输入信号的比例系数为例3,试设计实现u0=2u121+u122-1.5u111-0.5u112运算关系的加减运算电路。将所要实现的运算关系式与式(4)对比,确定式(4)中各输入信号的比例系数为确定所设计电路的形式为图1中去掉电阻R’及Rp,按四个输入信号重画。选取RF=150kΩ,代入各输入信号的比例系数表达式中,解出 4 结语本文讨论了加减

简易加减计算器设计(数电)

电子技术课程设计电气与信息工程学院建筑电气与智能化专业题目:简易加减计算器设计 姓名:徐雪娇 学号:094412110 指导教师:祁林

简易加减计算器设计 一、设计目的 1、在前导验证性认知实验基础上,进行更高层次的命题设计实验. 2、在教师指导下独立查阅资料、设计、特定功能的电子电路。 3、培养利用数字电路知识,解决电子线路中常见实际问题的能力. 4、积累电子制作经验,巩固基础、培养技能、追求创新、走向实用。 5、培养严肃认真的工作作风和严谨的科学态度。 二、设计要求 1、用于两位一下十进制的加减运算。 2、以合适方式显示输入数据及计算结果。 三、总体设计 第一步置入两个四位二进制数。例如(1001)2,(0011)2和(0101)2,(1000)2,同时在两个七段译码显示器上显示出对应的十进制数9,3和5,8。 第二步通过开关选择加(减)运算方式; 第三步若选择加运算方式所置数送入加法运算电路进行运算;同理若选 择减运算方式,则所置数送入减法运算电路运算; 第四步前面所得结果通过另外两个七段译码器显示。即: 方案一 通过开关J1-J8接不同的高低电平来控制输入端所置的两个一位十进制数, 译码显示器U10和U13分别显示所置入的两个数。数A直接置入四位超前进位 加法器74LS283的A4-A1端,74LS283的B4-B1端接四个2输入异或门。四个 2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关J5-J8,通过开关J5-J8控制数B的输入。当开关S1接低电平时,B与0异或的结果为B, 通过加法器74LS283完成两个数A和B的相加。当开关J1接高电平时,B与1 异或的结果为B非,置入的数B在74LS283的输入端为B的反码,且74LS283 的进位信号C0为1,其完成S=A+B(反码)+1,实际上其计算的结果为S=A-B 完成减法运算。由于译码显示器只能显示0-9,所以当A+B>9时不能显示,我们 在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001) 时加上6(0110)2,产生的进位信号送入译码器U12来显示结果的十位,U11 2 显示结果的个位。由于减法运算时两个一位十进制数相减不会大于10,所以不 会出现上述情况,用一片芯片U11即可显示结果。 方案二 由两异或门两与门和一或门组成全加器,可实现一位二进制加逻辑运算,四 位二进制数并行相加的逻辑运算可采用四个全加器串行进位的方式来实现,将低 位的进位输出信号接到高位的进位输入端,四个全加器依次串行连接,并将最低

汇编语言课程设计报告——实现加减乘除四则运算的计算器

汇编语言课程设计报告( 2011 -- 2012 年度第 2 学期) 实现加减乘除四则运算的计算器 专业计算机科学与技术 学生姓名 班级 学号 指导教师 完成日期

目录 目录 (1) 1概述 0 设计目的 0 设计内容 0 2系统需求分析 0 系统目标 0 主体功能 (1) 开发环境 (1) 3 系统概要设计 (1) 系统的功能模块划分 (1) 系统流程图 (2) 4系统详细设计 (3) 5测试 (4) 测试方案 (4) 测试结果 (4) 6小结 (4) 参考文献 (6) 附录 (7) 附录源程序清单 (7)

实现加减乘除四则运算的计算器 1 概述 设计目的 本课程设计是在学完教学大纲规定的全部内容、完成所有实践环节的基础上,旨在深化学生学习的汇编语言课程基本知识,进一步掌握汇编语言程序设计方法,提高分析问题、解决问题的综合应用能力。 设计内容 能实现加、减、乘、除的计算;该程序接受的是16进制数;执行时,需要在文件名后直接跟上计算表达式,如在命令提示符下执行结果如下: c:\tasm>js 3+2 5 2 系统需求分析 系统目标 本次汇编语言课程设计的最终目的是要实现一个简单加减乘除四则运算的计算器,要求编写一个程序,每运行一次可执行程序,可以实现数的加减乘除四则运算。比如,十进制数的加减乘除四则运算。我们曾经学习过两个具体数字进行加减法运算,但是对于简单计算器用汇编语言实现难点在于这两个要做运算的数是未知的,是由自己调用中断输入到屏幕上并要用程序存储起来的数,然后才能对这两个数进行运算,而且做的是加法运算、减法运算乘法运算还是除法运算也未可知,为此我们还要判断用户所输入的运算是四则运算中的哪一个运算。此外,运算过程中的进位或是借位,选择用什么样的方式进行输出,如何实现清屏等也是要解决的问题。

加减法运算电路课程设计说明书

目录 1 课程设计目的 (3) 2 课程设计设计和要求 (3) 2.1设计内容 (3) 2.2 设计要求 (3) 3 设计方案................................................................................................ .3 3.1 设计思路................................................................................................ .3 3.2 工作原理及硬件框图.. (3) 3.3 硬件电路原理图 (5) 3.4 PCB版图设计……………………………………………………………………………… ..6 4 课程设计总结 (6) 5 参考文献…………………………………………………………………………………… .7

1、课程设计目的 (1)掌握电子电路的一般设计方法和设计流程; (2)学习使用PROTEL软件绘制电路原理图及印刷板图; (3)掌握应用EWB对所设计的电路进行仿真,通过仿真结果验证设计的正确性。 2、课程设计内容和要求: 2.1、设计内容 设计加/减法运算电路,具体要求如下: (1)设计寄存器单元; (2)设计全加器单元; (3)设计7487(或74LS87)互补器单元。 2.2、设计要求 (1)课程设计说明书; (2)电路原理图和印刷板图; (3)仿真图形和仿真结果。 3、设计方案 3.1、设计思路 在二进制加减法运算电路中,为了减少硬件的复杂性,减法基本是通过加法来实现的。所以要实现减法的运算,就需要求出减数的反码(即减数中的1变成0,0变成1),在反码的基础上,再加1,成为补码。将补码和被减数相加,即得到运算结果。因此,在设计电路时,需要用到74LS87互补器单元来求减数的反码。 选用一个寄存器74LS175作为全加器74LS283被加数或被减数的输入,用另一个74LS175作为74LS87的输入,将74LS87的输出作为全加器74LS283加数或减数的输入,74LS283的输出结果即是加法或减法的运算结果。例如: 3.2、工作原理及硬件框图 (1)74LS175简介 寄存器74LS175的逻辑图如图-1所示

简易加减计算器的设计

简易加减计算器的设计 一设计任务和要求 1自选器件制作一个简易计算器实现二位以下十进制数的加减计算 2进一步掌握数字电子技术课程所学的理论知识。 3熟悉几种常见的二——十进制编码器芯片、加法器芯片和八段字符型LED显示芯片,掌握其工作原理及其使用方法,并能够熟的练将其组合连接,使其构成简单的加减计算器,实现二位以下的十进制数的加减计算。 4了解数字系统设计的基本思想方法,学会科学分析和解决问题,培养认真严谨的工作作风和实事求是的工作态度。 二原理电路设计。 (1)方案比较 方案一: 该方案有三大块组成:编码输入电路、加减运算电路和显示电路。 编码输入电路: 和方案一相同,我们采用二——十进制编码器作为编码输入器件,它可将我们输入的十进制数对应的编译成对应的二进制BCD代码,输入到运算电路。 加减运算电路: 加减运算电路主要由74LS283(四位超前进位加法器)和进位信号门电路组成。 由于编码电路送过来的代码信号都是反码的形式,所以我们首先采用非门电路获得正确的代码,仍然采用个位和个位相加,十位和十位的相加的原则,将两个的个位的代码送入到一个加法器中,但是加法器只可以做加法运算,不满足我们的设计要求,因此,我们采用异或门电路,将一个位作为减数的代码与异或门电路异或,目的是取反,得到其反码,再将进位信号接入异或门控制电路,进位相当于加一,这样我们就得到了减数的补码。同样的,74LS283也是一个十六进制的芯片,不满足我们的要求,我们仍然是用组合逻辑电路,设计一个进位信号,这里我们采用两片74LS283,第一片运算编码电路送过来的代码,当其运算结果大于9时,由组合逻辑电路产生进位信号。当运算结果为16、17、18时,我们将进位输出信号与组合逻辑电路进位信号做或运算,这样我们就得到了合适的进位了。可是怎样才可以得到正确的十进制数的代码呢,在其进行加法运算时,我们在第二片加法器上认为加上0110代码,将其与第一片加法器的运算结果做和,这样就得到了我们所需要的云算结果了。当我们我们做减运算时,控制异或门运算电路,得到被减数的补码,使其进行加法运算,当5减6时,为了得到正确的云算结果,我们将第一片的运算结果减六,及加上其补码——十,这样就得到了我们所需要的运算结果了。 十位运算和个位运算相同,不再赘述。 显示电路: 显示电路主要由7448(七段显示译码器)构成,将加减运算电路计算所得的运算结果输入到7448中,就得到了我们所需要的十进制的运算结果了。

加减法器的设计

本文运用数字电路知识来设计加减法器,在PROTEUS中仿真出电路原理图,通过控制开关的闭合来模拟数据的输入以及控制控制开关来选择加法器或者减法器。通过数码管的显示可以看到所输入的数据以及输入数据的运算结果。通过加减法器的课程设计,可以从中学习和巩固数字电路的相关知识。比如,减法器电路的设计,全加器芯片以及各种逻辑门器件的使用等等。 关键字:加减法器;数码管;逻辑门;全加器;PROTEUS。

1概述 (1) 2方案设计 (2) 2.1设计要求 (2) 2.2方案设计 (2) 2.3设计原理 (3) 3电路设计 (4) 3.1置数电路的设计 (4) 3.2加减法器电路的设计 (5) 3.3数码管显示电路的设计 (5) 3.4完整电路图的设计 (6) 4仿真与调试 (7) 4.1系统仿真步骤 (7) 4.2系统仿真结果分析 (7) 5总结 (10) 参考文献 (11) 致谢 (12)

第1章概述 目前,随着社会经济的发展,运算是人们生活中必不可少的,因此设计性能可靠的计算器是很有必要的。信息技术代表着当今先进生产力的发展方向,信息技术的广泛应用使信息的重要生产要素战略资源的作用得以发挥,使人们能更高效地进行资源优化配置,从而推动传统产业不断升级,提高社会劳动生产率和社会运行效率。 21世纪初,人类将全面迈向一个信息时代,信息技术革命是经济全球化的重要推动力量和桥梁,是促进全球经济和社会发展的主导力最,以信息技术为中心的新技术革命将成为世界经济发展史上的新亮点。信息技术将使人类能够进一步把潜藏在物质运动中的巨大信息资源挖掘出来,把世界变成一个没有边界的信息空间,以微处理机进入亿万办公室和家庭、超级计算机问世、卫星通信与光导通信的发展,特别是网络化的迅速发展为标志的,信息技术革命不仅以最为便捷的方式沟通了各国、各地区、各企业、各团体以及个人之间的联系,而且在一定程度上打破了种种地域乃至国家的限制,把核个世界空前地联系在一起,推动了全球化的迅速发展。 当今的世界是信息时代,而运算能力的强弱关系到自身获取信息的速度。这点对于人们很重要,它不仅对于个人,对于国家的安全和发展和国家的安全也是极其重要的。一个国家的综合实力的强弱可以从多个方面看出来,其中就包括对信息处理的能力,所以,研究高性能的计算机是每个国家都十分重视的一件事情。 我国以建设创新型国家为目标,把掌握装备制造业和信息产业核心技术的自主知识产权作为提高国家竞争力的突破口。虽然我国信息技术的总体水平与国际先进水平仍有不少差距,但近年来我国在一些有较大影响的关键信息技术领域有了可喜的突破。因此,作为天之骄子的我们很有必要学好信息技术,努力成为国家所需要的人才,为国家的繁荣富强作出自己的贡献,为中华民族的伟大复兴尽一份自己的力量。

计算机组成原理实验报告-八位补码加减法器的设计与实现

计算机科学与技术学院 计算机组成原理 实验报告书 实验名称八位补码加/减法器的设计与实现班级 学号 姓名 指导教师 日期 成绩

实验1八位补码加/减法器的设计与实现 一、实验目的 1.掌握算术逻辑运算单元(ALU)的工作原理。 2.熟悉简单运算器的数据传送通路。 3.掌握8位补码加/减法运算器的设计方法。 4.掌握运算器电路的仿真测试方法 二、实验任务 1.设计一个8位补码加/减法运算器 (1)参考图1,在QUARTUS II里输入原理图,设计一个8位补码加/减法运算器。 (2)创建波形文件,对该8位补码加/减法运算器进行功能仿真测试。 (3)测试通过后,封装成一个芯片。 2.设计8位运算器通路电路 参考下图,利用实验任务1设计的8位补码加/减法运算器芯片建立运算器通路。 3.利用仿真波形,测试数据通路的正确性。 设定各控制信号的状态,完成下列操作,要求记录各控制信号的值及时序关系。 (1)在输入数据IN7~IN0上输入数据后,开启输入缓冲三态门,检查总线BUS7~BUS0上的值与IN0~IN7端输入的数据是否一致。 (2)给DR1存入55H,检查数据是否存入,请说明检查方法。 (3)给DR2存入AAH,检查数据是否存入,请说明检查方法。 (4)完成加法运算,求55H+AAH,检查运算结果是否正确,请说明检查方法。 (5)完成减法运算,分别求55H-AAH和AAH-55H,检查运算结果是否正确,请说明检查方法。 (6)求12H+34H-56H,将结果存入寄存器R0,检查运算结果是否正确,同时检查数据是否存入,请说明检查方法。 三、实验要求 (1)做好实验预习,掌握运算器的数据传送通路和ALU的功能特性。 (2)实验完毕,写出实验报告,内容如下: ①实验目的。 ②实验电路图。 ③按实验任务3的要求,填写下表,以记录各控制信号的值及时序关系。 表中的序号表示各控制信号之间的时序关系。要求一个控制任务填一张表,并 可用文字对有关内容进行说明。

数字电路课程设计之加减法运算电路设计(1)

设计资料1 加减法运算电路设计 1.设计内容及要求 1.设计一个4位并行加减法运算电路,输入数为一位十进制数,且作减法运算时被减数要大于或等于减数。 2.led 灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算模式,运算完毕,所得结果亦用数码管显示。 3.提出至少两种设计实现方案,并优选方案进行设计 2.结构设计与方案选择 2.1电路原理方框图 电路原理方框图如下 → → 图1-1二进制加减运算原理框图 如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010),如(1001)2和(0111)2,同时在两个七段译码显示器上显示出对应的十进制数9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 即: 若选择加法运算方式,则(1001)2+(0111)2=(10000)2 十进制9+7=16 置数 开关选择运算方式 加法运算电路 减法运算电路 译码显示计算结果 显示所置入的两个一位十进制数

并在七段译码显示器上显示16. 若选择减法运算方式,则(1001)2-(0111)2=(00010)2十进制9-7=2 并在七段译码显示器上显示02. 2.2加减运算电路方案设计 2.2.1加减运算方案一 如图2-2-1所示:通过开关S2——S9接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U13和U15分别显示所置入的两个数。数A 直接置入四位超前进位加法器74LS283的A4——A1端,74LS283的B4——B1端接四个2输入异或门。四个2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关S6——S9,通过开关S6——S9控制数B的输入。当开关S1接低电平时,B与0异或的结果为B,通过加法器74LS283完成两个数A和B的相加。当开关S1接高电平时,B与1异或的结果为B非,置入的数B在74LS283的输入端为B的反码,且74LS283的进位信号C0为1,其完成S=A+B (反码)+1,实际上其计算的结果为S=A-B完成减法运算。由于译码显示器只能显示0——9,所以当A+B>9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001)时加上6(0110),产生的进位信号送入译码器U10来显示结果的十位,U11显示结果的个位。由于减法运算时两个一位十进制数相减不会大于10,所以不会出现上述情况,用一片芯片U11即可显示结果。 2.2.2加减运算方案二 由两异或门两与门和一或门组成全加器,可实现一位二进制加逻辑运算,四位二进制数并行相加的逻辑运算可采用四个全加器串行进位的方式来实现,将低位的进位输出信号接到高位的进位输入端,四个全加器依次串行连接,并将最低位的进位输入端接逻辑“0”,就组成了一个可实现四位二进制数并行相加的逻辑电路。 通过在全加器电路中再接入两个反相器可组成一个全减器,实现一位二进制减逻辑运算,将来自低位的错位信号端接到向高位借位的信号端,依次连接四个全减器,构成可实现四位二进制数并行进行逻辑减运算的电路。 在两组电路置数端接开关控制置数输入加法还是减法运算电路,电路输出端

相关文档
最新文档