电子琴设计说明

电子琴设计说明
电子琴设计说明

电子琴的设计

摘要随着基于CPLD的EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制用计算机等领域的重要性日益突出。作为一个学电子信息专业的学生,我们必须不断地了解更多的新产品信息,这就更加要求我们对EDA有个全面的认识。本程序设计的是简易电子琴的设计。采用EDA作为开发工具,VHDL语言为硬件描述语言,MAX + PLUS II作为程序运行平台,所开发的程序通过调试运行、波形仿真验证,初步实现了设计目标。本程序使用的硬件描述语言VHDL,可以大大降低了硬件数字系统设计的入门级别,让人感觉就是C语言的近亲。通过老师的指导和自己的学习完成了预想的功能。

1 引言

1.1 课程设计的目的

巩固和运用所学课程,理论联系实际,提高分析、解决计算机技术实际问题的独立工作能力,通过对一个简易的八音符电子琴的设计,进一步加深对计算机原理以及数字电路应用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。巩固所学课堂知识,理论联系实际,提高分析、解决计算机技术实际问题的独立工作能力。为了进一步了解计算机组成原理与系统结构,深入学习EDA技术,用VHDL 语言去控制将会使我们对本专业知识可以更好地掌握。

1.2 课程设计的内容

1)设计一个简易电子琴;

2)利用一基准脉冲产生1,2,3,。。。共7个音阶信号;

3)用指示灯显示节拍;

2 开发工具简介

2.1 EDA技术

EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE (计算机辅助工程)的概念发展而来的。EDA技术是以计算机为工具,根据硬件描述

语言HDL(Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。典型的EDA工具中必须包含两个特殊的软件包,即综合器和适配器。综合器的功能就是将设计者在EDA平台上完成的针对某个系统项目的HDL、原理图或状态图形描述,针对给定的硬件系统组件,进行编译、优化、转换和综合,最终获得我们欲实现功能的描述文件。综合器在工作前,必须给定所要实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用一定的方式联系起来。也就是说,综合器是软件描述与硬件实现的一座桥梁。综合过程就是将电路的高级语言描述转换低级的、可与目标器件FPGA/CPLD相映射的网表文件。

适配器的功能是将由综合器产生的王表文件配置与指定的目标器件中,产生最终的下载文件,如JED文件。适配所选定的目标器件(FPGA/CPLD芯片)必须属于在综合器中已指定的目标器件系列。

硬件描述语言HDL是相对于一般的计算机软件语言,如:C、PASCAL而言的。HDL语言使用与设计硬件电子系统的计算机语言,它能描述电子系统的逻辑功能、电路结构和连接方式。设计者可利用HDL程序来描述所希望的电路系统,规定器件结构特征和电路的行为方式;然后利用综合器和适配器将此程序编程能控制FPGA和CPLD 内部结构,并实现相应逻辑功能的的门级或更底层的结构网表文件或下载文件。目前,就FPGA/CPLD开发来说,比较常用和流行的HDL主要有ABEL-HDL、AHDL和VHDL。

2.2硬件描述语言—VHDL

VHDL的英文全名是Very-High-Speed Integrated Circuit Hardware Description Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA 公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,(简称93版)。现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。

VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语

言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。应用VHDL进行工程设计的优点是多方面的。

(1) 与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。

(2) VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。

(3) VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。(4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。

(4) VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。

2.3 VHDL的设计流程:

(1) 设计输入根据电路设计所提出的要求,将程序输入到VHDL编辑器中去编辑。

(2) 功能级模拟用VHDL,模拟器对编辑后的程序进行模拟,如果达不到设计要求,则可以重新修改程序,直到通过功能模拟。

(3) 逻辑综合与优化将通过功能模拟的程序放到VHDL编译器中,进行逻辑综合与优化。

(4) 门级模拟对电路用VHDL。仿真器仿真。可对门级电路的延时、定时状态、驱动能力等进行仿真。如不符合要求,可重复步骤(3),再门级模拟,直到符合要求止。

(5) 版图生成用相应的软件处理后,就可以拿去制版。

3设计过程

3.1设计规划

根据系统设计要求,系统设计采用自顶向下的设计方法,系统的整体组装设计原理图如图3-1所示,它由乐曲自动演奏模块、音调发生模块和数控分频模块三部分组成。

图3-1 系统的整体组装设计原理图

3.2 各模块的原理及其程序

(1)乐曲自动演奏模块

乐曲自动演奏模块(AUTO.VHD)的作用是产生8位发声控制输入信号/当进行自动演奏时,由存储在此模块中的8位二进制数作为发声控制输入,从而自动演奏乐曲。VHDL源程序(AUTO.VHD)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY AUTO IS

PORT ( CLK : IN STD_LOGIC;

AUTO : IN STD_LOGIC;

CLK2 : BUFFER STD_LOGIC;

INDEX2 : IN STD_LOGIC_VECTOR(7 DOWNTO 0);

INDEX0 : OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END AUTO;

ARCHITECTURE BEHA VIORAL OF AUTO IS

SIGNAL COUNT0: INTEGER RANGE 0 TO 31;

BEGIN

PULSE0 :PROCESS(CLK,AUTO)

V ARIABLE COUNT :INTEGER RANGE 0 TO 8;

BEGIN

IF AUTO ='1' THEN

COUNT := 0;CLK2<='0';

ELSIF(CLK'EVENT AND CLK ='1')THEN

COUNT :=COUNT +1;

IF COUNT =4 THEN

CLK2 <='1';

ELSIF COUNT =8 THEN

CLK2<='0'; COUNT:=0;

END IF ;

END IF ;

END PROCESS;

MUSIC:PROCESS(CLK2)

BEGIN

IF (CLK2'EVENT AND CLK2='1')THEN

IF (COUNT0=31)THEN

COUNT0<=0;

ELSE

COUNT0<=COUNT0+1;

END IF ;

END IF ;

END PROCESS;

COM1:PROCESS(COUNT0,AUTO,INDEX2) BEGIN

IF AUTO ='0' THEN

CASE COUNT0 IS

WHEN 0=>INDEX0<="00000100"; --3 WHEN 1=>INDEX0<="00000100"; --3 WHEN 2=>INDEX0<="00000100"; --3 WHEN 3=>INDEX0<="00000100"; --3 WHEN 4=>INDEX0<="00010000"; --5 WHEN 5=>INDEX0<="00010000"; --5 WHEN 6=>INDEX0<="00010000"; --5 WHEN 7=>INDEX0<="00100000"; --6 WHEN 8=>INDEX0<="10000000"; --8 WHEN 9=>INDEX0<="10000000"; --8 WHEN 10=>INDEX0<="10000000"; --8 WHEN 11=>INDEX0<="00000100"; --3 WHEN 12=>INDEX0<="00000010"; --2

WHEN 13=>INDEX0<="00000010"; --2

WHEN 14=>INDEX0<="00000001"; --1

WHEN 15=>INDEX0<="00000001"; --1

WHEN 16=>INDEX0<="00010000"; --5

WHEN 17=>INDEX0<="00010000"; --5

WHEN 18=>INDEX0<="00001000"; --4

WHEN 19=>INDEX0<="00001000"; --4

WHEN 20=>INDEX0<="00001000"; --4

WHEN 21=>INDEX0<="00000100"; --3

WHEN 22=>INDEX0<="00000010"; --2

WHEN 23=>INDEX0<="00000010"; --2

WHEN 24=>INDEX0<="00010000"; --5

WHEN 25=>INDEX0<="00010000"; --5

WHEN 26=>INDEX0<="00001000"; --4

WHEN 27=>INDEX0<="00001000"; --4

WHEN 28=>INDEX0<="00000100"; --3

WHEN 29=>INDEX0<="00000100"; --3

WHEN 30=>INDEX0<="00000010"; --2

WHEN 31=>INDEX0<="00000010"; --2

WHEN OTHERS =>NULL;

END CASE;

ELSE INDEX0<=INDEX2;

END IF;

END PROCESS;

END BEHA VIORAL;

(2)音调发生模块

音调发生模块的作用是产生音阶的分频预置值。当8位发声控制输入信号中的某一位为高电平时,则对应某一音节的数值将输出,该数值即为该音阶的分频预置值,分频预置值控制数控分频模块进行分频,由此可得到每个音阶对应的频率。

VHDL源程序(TONE.VHD)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY TONE IS

PORT (INDEX: IN STD_LOGIC_VECTOR(7 DOWNTO 0);

CODE: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);

HIGH: OUT STD_LOGIC;

TONE0: OUT INTEGER RANGE 0 TO 2047);

END TONE;

ARCHITECTURE ART OF TONE IS

BEGIN

SEARCH : PROCESS(INDEX)

BEGIN

CASE INDEX IS

WHEN "00000001"=>TONE0 <=773;CODE<="1001111";HIGH<='1';

WHEN "00000010"=>TONE0 <=912;CODE<="0010010";HIGH<='1';

WHEN "00000100"=>TONE0 <=1036;CODE<="0000110";HIGH<='1';

WHEN "00001000"=>TONE0 <=1116;CODE<="1001100";HIGH<='1';

WHEN "00010000"=>TONE0 <=1197;CODE<="0100100";HIGH<='1';

WHEN "00100000"=>TONE0 <=1290;CODE<="0100000";HIGH<='0';

WHEN "01000000"=>TONE0 <=1372;CODE<="0001111";HIGH<='0';

WHEN "10000000"=>TONE0 <=1410;CODE<="0000000";HIGH<='0';

WHEN OTHERS =>TONE0<=2047;CODE<="0000001";HIGH<='0';

END CASE;

END PROCESS;

END ART;

(3)数控分频模块

数控分频模块是对时基脉冲进行分频,得到与1、2、3、4、5、6、7七个音符相对应的频率。

VHDL源程序(FENPIN.VHD)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY FENPIN IS

PORT(CLK1: IN STD_LOGIC;

TONE1: IN INTEGER RANGE 0 TO 2047;

SPKS: OUT STD_LOGIC);

END ENTITY FENPIN;

ARCHITECTURE ART OF FENPIN IS

SIGNAL PRECLK:STD_LOGIC;

SIGNAL FULLSPKS:STD_LOGIC;

BEGIN

PROCESS(CLK1)

V ARIABLE COUNT:INTEGER RANGE 0 TO 8; BEGIN

IF (CLK1'EVENT AND CLK1='1')THEN

COUNT:=COUNT +1;

IF COUNT=2 THEN

PRECLK<='1';

ELSIF COUNT =4 THEN

PRECLK<='0';COUNT:=0;

END IF ;

END IF ;

END PROCESS;

PROCESS(PRECLK,TONE1)

V ARIABLE COUNT11:INTEGER RANGE 0 TO 2047; BEGIN

IF (PRECLK'EVENT AND PRECLK='1')THEN

IF COUNT11

COUNT11:=COUNT11+1;FULLSPKS<='1';

ELSE

COUNT11:=0;FULLSPKS<='0';

END IF ;

END IF ;

END PROCESS;

PROCESS(FULLSPKS)

V ARIABLE COUNT2 :STD_LOGIC:='0';

BEGIN

IF (FULLSPKS'EVENT AND FULLSPKS='1')THEN

COUNT2:=NOT COUNT2;

IF COUNT2='1'THEN

SPKS<='1';

ELSE

SPKS<='0';

END IF ;

END IF;

END PROCESS;

END ART;

(4)顶层设计

VHDL源程序(DIANZIQIN.VHD)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY DIANZIQIN IS

PORT(CLK32MHZ: IN STD_LOGIC;

HANDTOAUTO:IN STD_LOGIC;

CODE1: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);--音符显示信号INDEX1: IN STD_LOGIC_VECTOR(7 DOWNTO 0);--键盘输入信号

HIGH1: OUT STD_LOGIC;--高低音节信号

SPKOUT: OUT STD_LOGIC);--音频信号

END;

ARCHITECTURE ART OF DIANZIQIN IS

COMPONENT AUTO

PORT(CLK: IN STD_LOGIC;

AUTO: IN STD_LOGIC;

INDEX2: IN STD_LOGIC_VECTOR(7 DOWNTO 0);

INDEX0: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END COMPONENT;

COMPONENT TONE

PORT(INDEX: IN STD_LOGIC_VECTOR(7 DOWNTO 0);

CODE: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);

HIGH: OUT STD_LOGIC;

TONE0: OUT INTEGER RANGE 0 TO 2047);

END COMPONENT;

COMPONENT FENPIN

PORT(CLK1: IN STD_LOGIC;

TONE1:IN INTEGER RANGE 0 TO 2047;

SPKS: OUT STD_LOGIC);

END COMPONENT;

SIGNAL TONE2:INTEGER RANGE 0 TO 2047;

SIGNAL INDX:STD_LOGIC_VECTOR(7 DOWNTO 0);

BEGIN

U0:AUTOPORTMAP(CLK=>CLK32MHZ,INDEX2=>INDEX1,INDEX0=>INDX,AUTO= >HANDTOAUTO);

U1:TONEPORTMAP(INDEX=>INDX,TONE0=>TONE2,CODE=>CODE1,HIGH=>HIGH1 );

U2:FENPIN PORT MAP(CLK1=>CLK32MHZ,TONE1=>TONE2,SPKS=>SPKOUT); END ART;

4波形仿真

(1)乐曲自动演奏模块的仿真(如图4-1所示)

图4-1乐曲自动演奏模块的仿真图

(2)音调发生模块的仿真(如图4-2)

图4-2 音调发生模块的仿真图

(3)数控分频模块的仿真(如图4-3)

图4-3数控分频模块仿真图

(4)简易电子琴整个系统的仿真(如图4-4)

图4-4简易电子琴整个系统的仿真图

5 结束语

经过努力,简易电子琴的设计基本上完成了。在整个设计过程中,包括前期中期和后期,我们都有着许多不同的体会:

1) 这个设计的基本是接触一门新的语言并加以应用,对于我们来说,没有想到的是入手的速度比我的预料快,在以前编程的基础上,从接触到开始动手编程的时间得到了很大的缩短。知识的接收速度在很大的程度上决定了动手的时间。

2) VHDL的编程与C语言的编程有着本质的不同,然而以往形成的旧编程习惯在VHDL 编程中依然起着很大的作用。一通百通,不是没有道理的。对于学习新的知识并予以应用的信心,显得更足了。

3) VHDL的设计关键是电路逻辑设计,而一个程序的关键是总体设计。对于硬件设计接触不多的我们清楚这一点也许不无好处。

4)通过这个程序设计让我们学会一种新的语言,对数字系统结构也有了更进一步的了解和认识,对我们以后的学习有很大的帮助。希望其他人在看再做类似设计时有所借鉴。

通过几天的课程设计,我们对数据库软件EDA技术、VHDL、等系列知识都有了一

定的了解。使用EDA技术开发页面的能力也有了很大提高。

基于的电子琴设计

综合设计任务书 学生班级:学生姓名:学号: 设计名称:电子琴的设计与实现 起止日期:—指导教师: 综合设计学生日志

电子琴的设计与实现 摘要:本次设计是xx专业专业方向设计,利用单片机设计简易电子琴。其主要功能为:按下不同按键,发出不同 1 、2 、3、4 、5 、6 、7 七个音符并且用LED 或LCD显示当前按键。选用stm32f103C8T6,它有8个定时器,部分定时器有多达4个用于输入捕获/输出比较/PWM或脉冲计数的通道和增量编码器输入。利用芯片内部相关定时器来输出PWM,从而来驱动蜂鸣器。通过读取外部按键输入的值来相应改变定时器相关寄存器的值,从而来改变PWM的输出频率来达到发出不同音调。 关键词:STM32f103C8T6;PWM;定时器 The Design of the Keyboard Abstract:This design is the professional direction of biomedical engineering design. Using Single Chip Microcomputer to achieve a simple Keyboard. Its main function is: While a user press the different keys, it will make different sounds from the and display different numbers which corresponded to the sounds. Using stm32f103- -C8T6 as control chip. It has16-bit timers. Some of them with up to 4 IC/OC/PWM or pulse counter. Making use of the Timers to generate driving signal .By reading the state of the external key to change the . Different frequency of the PWM will buzzer makes different sounds. Key words: STM32f103C8T6; PWM; Timer 一、设计目的和意义 本综合设计是为xx专业高年级本科生开设的必修课,是对学生运用所学知识的一次综合训练。其目的是让学生得到一次进行独立设计的工程实践锻炼,不仅培养严谨的科学态度和扎实的实践技能、良好的工程意识,并在设计中学会如何发现、分析和解决工程实践问题的技能和方法,将所学知识综合应用于工程实践中,为后续的毕业设计做好准备。 二、控制要求 利用单片机设计简易电子琴。 功能要求: (1) 按下不同按键,发出不同1 、2 、3、4 、5 、6 、7 七个音符; (2) 用LED 或LCD显示当前按键。

EDA课程设计 电子琴

简易电子琴的设计和实现 ----结题报告 学号:110342241 姓名:章译文 一.设计要求 1.能发出1234567基本音。 2.能自动播放《偶像万万岁》和《梁祝》。 3.能在数码管上显示弹奏时的音名。 二.设计原理分析 1.音阶的获得 系统要求要求用7个按键控制发声,我们用7个键盘来控制产生低音(或中音)段的7个音阶频率。所有的音名频率都是通过一个基准频率经过分频得到的。但部分分频过大的音频直接输出给扬声器,频率信号脉冲的占空比会非常窄,不能驱动扬声器工作。于是,我们设计了一个“带有预置数的计数器”来简化整个设计过程。将原频率分频成期望值的2倍频率信号。再通过二分频,驱动扬声器工作。

简易电子琴控制流程图 2.MUSICAL_NOTE内部电路 MUSICAL_NOTE内部电路 使用MUSICAL_NOTE电路,实现初值可变的计数器,并对音阶实

现二分频,使电子琴实现正常发音。 按键播放部分电路3.自动播放功能的实现 自动播放部分电路

Hebing.mif文件(偶像万万岁&梁祝) 歌曲长度为352位,利用三个74261设计0~352的计数器,自动寻址,将音频导入lpm_rom,与MUSICAL_NOTE相互作用,实现自动播放。

按键除颤电路原理图 作为机械开关的键盘,在按键操作时,机械触点的弹性及电压跳动等原因,再触点闭合或者开启的瞬间会出现电压的抖动,如果不进行处理就会造成误操作。按键去抖动的关键在于提取稳定的低电平状态,滤去前沿后沿的抖动毛刺。 4.在数码管上显示弹奏时的音名 显示部分电路图

Display内部电路 电路中的74161是16进制的计数器,它的低三位接到3—8译码器模块74138的地址输入端,这样就可以实现74138的8个输出端轮流输出低电平,恰好用来控制“位码”DIG7~DIG0。LPM_MUX是QuartusⅡ中的宏模块,用来实现多位的“多选一”,它的数据位数可以以及数据的数量可以任意设定。 电路中使用了与非门,实现发音与现实同时实现,在此要注意的是,因为使用了与非门,静态字符现实数码管管脚的设定值应该与原 值相反。

简易电子琴课程设计报告

摘要 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器,它在音奏中已成为不可缺少的一部分。本文主要介绍运用555定时器制作简易电子琴的设计方法。该方法利用555定时器构成多谐振荡器,通过按键控制不同的RC组合应用多谐振荡器产生不同频率八个基本音阶的脉冲信号波,然后连到扬声器上,即可发出八音阶的音乐。在该设计中,利用了555定时器构成的多谐振荡器产生各音阶不同频率的脉冲,不仅仅使其频率调节更加方便,而且发出的声音稳定、饱满。 前言 (1) 第一章设计内容及要求 (2) 1.1 设计的基本原理 (2) 1.2 设计要求 (2) 第二章系统组成及工作原理 (3) 2.1 系统组成 (3) 2.1.1 按键模块 (3) 2.1.2音调发生模块 (3) 2.1.3音响模块 (4) 2.2 工作原理 (4) 2.2.1 NE555多谐振荡器 (5) 2.2.2 LM386集成功率放大器 (7) 第三章方案比较 (8) 3.1 方案一 (8) 3.2 方案二 (9) 3.3方案三 (10) 3.4方案分析与比较 (11) 第四章参数计算、器件选择 (12) 4.1 参数计算 (12) 4.2 器件选择 (12) 第五章系统调试及测试结果分析 (14) 5.1 系统调试 (18) 关键词:简易电子琴,555定时器,多谐振荡器,八个基本音阶 目录

5.2 硬件调试···················································19 2 5.3 测试结果与分析 (19) 前言 随着当代科学设计的发展,电子产品在人们的日常生活中占据着越来越多重要的地位。电子琴作为其中的一个典型代表,引领着许多孩子进入音乐的殿堂。因此,我们选择了简易电子琴这个题目来制作,因为它不仅能过提高实际动手能力,还与实际生活有着紧密的联系。 模拟电子技术基本教程是一门实践性很强的课程,而此次课程设计依据的理论基础是模拟电子技术基本教程,其主要目的是通过本课程的培养,启发学生的创造性思维,进一步探究书本知识。本课程设计是设计出一个电子产品,先焊接好,再进行检验。 在电子课程设计的过程中,系统的概念十分重要,熟悉从系统的层次分析问题、解决问题的方式。基本方法除了实验课中要求掌握的功能测试、故障排除等各种一般方法以外、要特别注重使用“电路拼装”的方法。课程设计的一般步骤如下:(1)、选择一个课题;(2)、查阅有关资料;(3)、进行可行论证;(4)、通过设计方案的比较,定出最优的设计方案;(5)、分解为多个模块;(6)、分别设计各个功能模块电路,并完成调试;(7)、组装成完整的数字系统;(8)、编写设计、安装、调试报告。 1 第一章设计原理及要求 1.1 设计的原理 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成施密特触发器、单稳态触发器及多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。 5.4 误差分析 (19) 实验小结及心得体会 (20) 结论······························································21 参考 文献·························································22 附录一····························································23 附录二···························································· 24 3

简易电子琴课程设计

课程设计任务书学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采 用运算放大器构成振荡电路,用集成功放电路输出。已知八个基本音阶在C调时所对 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原 理并仿真实现系统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日

系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

电子琴课程设计

摘要 随着电子技术的发展,电子技术正在逐渐改善着人们的学习、生活、工作,电子技术与音乐的结合正在不断加深。因此开发本系统希望能够给人们多带来一点生活上的乐趣。电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。本文的主要内容是用AT89C51单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘、扬声器等模块组成核心主控制模块,在主控模块上设有16个按键和扬声器。本系统运行稳定,其优点是硬件电路简单,软件功能完善,控制系统可靠,性价比较高等,具有一定的实用和参考价值。 关键词:AT89C51,矩阵键盘,LED显示管,扬声器。

目录 摘要..............................................................................................................................I 目录.............................................................................................................................II 1 引言. (1) 1.1 研究背景及意义 (1) 1.2 研究现状和发展趋势 (1) 2 硬件设计 (2) 2.1 总体设计方案 (2) 2.2 电子琴组成原件的概述 (2) 3 软件设计 (4) 3.1 keil软件的任务 (4) 3.2 proteus的界面实现 (6) 4 系统调试与实验 (7) 4.1 程序调试 (7) 4.2 硬件调试 (7) 5 总结 (8) 参考文献 (9)

基于FPGA的多功能电子琴设计与实现

西南科技大学 电子专业综合设计报告 设计名称:基于FPGA的多功能电子琴的设计与实现 姓名: 学号: 班级: 指导教师: 起止日期: 2013年11月22日-2013年12月10 日 西南科技大学信息工程学院制

综合设计任务书 学生班级:电子1001 学生姓名:学号: 设计名称:基于FPGA的多功能电子琴的设计与实现 起止日期:2013.11.22-2013.12.10 指导教师:

综合设计学生日志

基于FPGA的多功能电子琴的设计与实现 摘要:随着科学技术的日新月异,人们的生活也在发生在变化,电子产品也随之增多,比如 现在流行的电子琴,已经逐渐代替了曾经的手动风琴了。文章中所介绍的多功能电子琴的设计在Quartus II平台上,采用Verilog HDL 语言和模块化的设计方法,设计出一个能够通过按键控制不同的音符,同时也可以通过按键进行演奏已经存储的曲子的多功能电子琴。本系统主要由五个个模块组成:顶层模块,曲目1模块,曲目2模块,按键模块,曲目循环播放模块。 关键词: FPGA;电子琴; Verilog HDL;音符

FPGA-based design and implementation of multi-organ Abstract:With the development of science and technology, also occurs in people's lives change, electronic products also increase, such as the now popular organ, has replaced the former manual organ. Multifunction keyboard design as described in the article on the Quartus II platform, using Verilog HDL language and modular design method, design a button control through different note, you can also play music already stored by keys multifunction keyboard. The system consists of five modules: the top-level module, a module tracks, track 2 modules, key module, track loop module. Key words: FPGA, Keyboard, Verilog HDL, Note

基于单片机的简易电子琴设计课程设计

基于单片机的简易电子琴设计课程设计

湖南文理学院 课程设计报告 课程名称:单片机课程设计 专业班级:自动化10102班17号学生姓名:肖葵 指导教师:王南兰 完成时间:2013年 6 月13 日报告成绩: 湖南文理学院制

摘要 随着社会的发展进步,音乐逐渐成为人们生活中很重要的一部分,有人曾说喜欢音乐的人不会向恶。我们都会抽空欣赏世界名曲,作为对精神的洗礼。本论文设计一个基于单片机的简易电子琴。人们对于电子琴如何实现其功能,如音色选择、声音强弱控制、节拍器、自动放音功能等等也很好奇。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演着重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘、扬声器等模块组成核心主控制模块,在主控模块上设有16个按键和扬声器。本系统运行稳定,其优点是硬件电路简单,软件功能完善,控制系统可靠,性价比较高等,具有一定的实用和参考价值。 关键词:AT89S51;音色节拍器;电子琴

ABSTRACT With the development of our society, music has become an important part of life. There’s a saying goes that people who likes music cannot be an evil. During our life, we often enjoy all kinds of music in the world to baptize our spirits. This thesis has designed a simple microcontroller-based electronic key board. We are curious about the foundation of electronic keyboard, such as the choice of timber, the control of volume, the metrononme and automatic playback. The keyboard is a product of modern electronic technology combined with music, it is a new type of keyboard instruments. And it plays an important role in modern music. Single chip has a powerful control functions and flexible programming characteristics. It has converged with modern people's lives, become an irreplaceable part. The main content is AT89S51 control of the core components, Design of an electronic organ, single chip as a host to the core, with the keyboard, speakers and other core modules main control module, in the main control module has 16 keys and speakers. Stability of the system, its advantages are simple hardware circuits, software functions, control system reliability, high cost performance and have certain practical and reference value. Key words : single chip MCU keyboard speaker electronic organ

数电课程设计--简易电子琴

目录 1 设计任务 (1) 1.1 基本任务 (1) 1.2 扩展任务 (1) 2 设计方案原理 (1) 3 单元电路的设计 (2) 3.1 多谐振荡器 (2) 3.2 琴键开关 (3) 3.3 扩音器(喇叭) (4) 3.4 器件选择 (4) 4 电路图的绘制 (5) 5 电路的仿真及调试 (6) 6 体会 (6) 参考文献 (8)

1设计任务 电子琴是一种很简单的电子产品,目前市场上所售的电子琴多为基于单片机所设计的。本次课设要求利用数电知识,设计一个能奏出八个音阶的电子琴。虽然没有基于单片机的电子琴那么多的功能,但是电子琴的基本功能是可以满足的。 本次设计的主要内容为:根据数电课程所学内容,结合其他相关课程知识,设计一个简易电子琴,以加深对单片机知识的理解,锻炼实践动手能力。 本次设计的任务为: 1.1基本任务 ①具备8个按键,能够分别较准确地弹奏出1?1八个音符。 ②选择电路方案,完成对确定方案电路的设计。计算电路元件参 数与元件选择、并画出总体电路原理图,阐述基本原理。用 Proteus或MULTISIM软件完成仿真,并按规定格式写出课程设计 报告书。 1.2扩展任务 ①能够弹奏出至少21个音符(三个音阶)。 ②能够较便捷地完成音阶的升降。(按一个开关实现升8度,按另一个开关实现降 8度) 2设计方案原理 本方案为利用555多谐振荡器能输出脉冲信号的特性,通过改变振荡器外接电阻的阻值来改变振荡器输出脉冲的频率,驱动喇叭发出各种音阶。电子琴所用琴键即为改变电阻阻值的开关,通过改变阻值使输出与琴键音阶相对应。

原理框图如下: 图1原理框图 3单元电路的设计 3.1多谐振荡器 利用多谐振荡器产生周期脉冲电路图如下图所示 图2 多谐振荡器电路实现 图中引脚功能: 1脚:GND或Vss)外接电源负端VSS或接地,一般情况下接地。 2脚:TR低触发端。 3脚:OUT(或Vo)输出端。 4脚:Rd是直接清零端。当R端接低电平,则时基电路不工作,此时不论TR、TH 处于何电平,时基电路输出为“ o”,该端不用时应接高电平。 5脚:CO或VC)为控制电压端。若此端外接电压,则可改变内部两个比较器的

基于STM32的电子琴设计说明

综合设计任务书 学生班级:学生:学号: 设计名称:电子琴的设计与实现 起止日期:2013.11.18—2013.12.15 指导教师:

综合设计学生日志

电子琴的设计与实现 摘要:本次设计是xx专业专业方向设计,利用单片机设计简易电子琴。其主要功能为:按下不同按键,发出不同 1 、2 、3、4 、5 、6 、7 七个音符并且用LED 或LCD显示当前按键。选用stm32f103C8T6,它有8个定时器,部分定时器有多达4个用于输入捕获/输出比较/PWM或脉冲计数的通道和增量编码器输入。利用芯片部相关定时器来输出PWM,从而来驱动蜂鸣器。通过读取外部按键输入的值来相应改变定时器相关寄存器的值,从而来改变PWM的输出频率来达到发出不同音调。 关键词:STM32f103C8T6;PWM;定时器

The Design of the Keyboard Abstract:This design is the professional direction of biomedical engineering design. Using Single Chip Microcomputer to achieve a simple Keyboard. Its main function is: While a user press the different keys, it will make different sounds from the buzzer and display different numbers which corresponded to the sounds. Using stm32f103- -C8T6 as control chip. It has16-bit timers. Some of them with up to 4 IC/OC/PWM or pulse counter. Making use of the Timers to generate driving signal .By reading the state of the external key to change the frequency of output . Different frequency of the PWM will control buzzer makes different sounds. Key words: STM32f103C8T6; PWM; Timer

(完整版)基于51单片机简易电子琴的设计

电子琴的设计 1 课题背景 单片微型计算机室大规模集成电路技术发展的产物,属于第四代电子计算机它具有高性能、高速度、体积小、价格低廉、稳定可靠、应用广泛的特点。他的应用必定导致传统的控制技术从根本上发生变革。因此,单片机的开发应用已成为高科技和工程领域的一项重大课题。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘扬声器等模块组成核心主控制模块,在主控模块上设有8个按键,和一个复位按键。 主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴硬件的组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏要表达的音符。并且分别从原理图,主要芯片,个模块原理及各莫奎的程序的调试来详细阐述。 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,构成我们想演奏的那首曲目。当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样的方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系编写正确就可以达到我们想要的曲目。一. 任务要求与总体设计方案 1.1 设计任务与要求 利用所给键盘的1,2,3,4,5,6,7,8八个键,能够发出7个不同的音调,而且有一个按键可以自动播放歌曲,要求按键按下时发声,松开延时一小段时间,中间再按别的键则发另外一音调的声音,当系统扫描到键盘按下,则快速检测出是哪一个按键被按下,然后单片机的定时器启动,发出一定频率的脉冲,该频率的脉冲经喇叭驱动电路放大滤波后,就会发出相应的音调。如果在前一个按下的键发声的同时有另一个按键被按下,则启动中断系统。前面的发音停止,转到后按的键的发音程序。发出后按的键的音调。 1.2 设计方案 1.2.1 播放模块 播放模块是由喇叭构成,它几乎不存在噪声,音响效果较好,而且由于所需驱动功率

基于单片机的电子琴的设计课程设计说明

基于单片机的电子琴的设计课程设计说明

专业工程设计说明书 题目:基于单片机的电子琴设计院(系):电子工程与自动化学院专业:测控技术与仪器(卓越)学生姓名:侯雪磊 学号:1100820411

指导教师:陈寿宏 2013 年9 月18 日

随着人们生活水平的提高,对音乐的演唱和演奏成为了生活中一种不错的休闲娱乐方式。小小的电子琴可以给人们带来美好的回忆,提高人们的精神文化享受同时能具有音乐盒的播放功能。但是传统音乐盒多是机械型的,体积笨重,发音单调,不能实现批量生产。本文设计的电子琴是以单片机为核心元件,体积小,重量轻,能演奏和旋音乐,功能多,外观效果多彩,使用方便,并具有一定的商业价值、和发展潜力。 基于单片机的电子琴设计以AT89S52单片机作为系统的核心控制部分,通过制作硬件电路和用C语言编写的主控芯片控制程序,并用Keil软件进行编译,然后进行软硬件的调试运行,最终达到设计电路的乐器演奏、点歌、显示功能、LED进行节拍指示。设计中应用中断系统和定时/计数原理控制演奏器发声。可以用它来弹奏和播放乐曲。 特点是设计思路简单、清晰、成本低、实用,可以根据个人意愿改变想要播放的音乐。避免了机械音乐盒体积笨重、发音单调等一系列缺点,使得本设计具有一定的商业价值。 关键词: 电子琴; AT89S52单片机;数码管;LED灯;音调;节拍。

1绪论 (5) 1.1 电子琴的相关知识 (5) 1.2 设计任务要求 (5) 1.3 设计方案简介 (5) 2 设计方案论证 (5) 2.1 控制芯片的选择…………………………………………………………… 5 2.2 LED灯电路的选择 (5) 2.3 声音播放电路的选择 (5) 3 系统硬件设计及说明 (6) 3.1 系统组成及总体框图 (6) 3.2 元件简介 (6) 3.2.1 AT89S52 (6) 3.2.2 LM386 (7) 3.2.3 LED数码管……………………………………………………………… 7 3.3 各功能模块原理图 (8) 3.3.1 控制电路的设计 (8) 3.3.2 时钟振荡电路设计 (8) 3.3.3 数码管显示电路设计 (9) 3.3.4 LED显示电路设计 (9) 3.3.5 键盘电路的设计 (9) 3.3.6 声音播放电路的设计 (10) 3.3.7复位电路的设计 (10) 3.4 电路总体构成 (10) 4 系统软件设计 (11) 4.1 音乐相关知识 (11) 4.2 软件程序设计 (11) 4.2.1 主程序流程图 (11) 4.2.2 按键子程序流程图 (12) 4.3程序编写 (14) 4.3.1 程序开头以及参数定义 (14) 4.3.2 延时、定时器初始化程序 (15) 4.3.3 音乐演奏程序 (16) 4.3.4 主程 序 (17) 4.3.5键盘检测程序 (17) 5 组装调试 (21) 5.1 检查硬件连接 (21)

简易电子琴设计说明书

简易电子琴设计说明书一﹑设计题目: 设计一简易电子琴,要求: ①能够发出1、2、3、4、5、6、7、ⅰ等八个音符,此八音符电子琴 可通过按健输入来控制音响。 ②演奏时可以选择手动演奏还是自动演奏已存入的乐曲。 使用元件:AT80c51、LM324、扬声器、按键等。 二、设计目的: ㈠掌握电子电路的一般设计方法和设计流程,并且能够对电子电路、电子元器件、印制电路板等方面的知识有进一步的认识。 ㈡学习使用protel软件绘制电路原理图及印刷板图。 ㈢掌握应用EWB对所设计的电路进行仿真,通过仿真结果验证设计的正确性。 三、设计内容及步骤: 为使所设计的简易电子琴实现预期功能——此八音符电子琴可通过按健输入来控制音响的目的,要建需立音阶与8051输出频率的对应表,如下表: 简易电子琴硬件系统图: 流程图: 原理: (一)音乐产生原理及硬件设计 由于一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,即可构成我们所想要的音乐了,当然对于单片机来产生不同的频率非常方便,我们可以利用

单片机的定时/计数器T0来产生这样方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系弄正确即可。 本次设计中单片机晶振为12M H Z,那么定时器的计数周期为1M H Z,假如选择工作方式1,那T值便为T=216--5﹡105/相应的频率,那么根据不同的频率计算出应该赋给定时器的计数值,列出不同音符与单片机计数T0相关的计数值如下表所示: 采用查表程序进行查表时,可以为这个音符建立一个表格,有助于单片机通过查表的方式来获得相应的数据: T A B L E D W64580,64684,64777,64820,64898,64968,65030 源程序: 方案一:产生按键音符 O R G0000H L J M P M A I N O R G000B H L J M P B R E A K M A I N:M O V T M O D,#01H;设置定时器0的工作方式 S E T B E A S E T B E T0;设置定时器0中断 S E T B T R0;启动定时器0 W A I T1:L C A L L K E Y;调用K E Y子程序,判断有键按下否?第几个键? C L R E A;屏蔽中断 C J N E R3,#00H,W A I T1;如果R3=0,表示有键按下 M O V A,22H;将22H里存放的按键号送给A R L A;因为查表里都是字,所以得乘2查得数据 M O V D P T R,#T A B L E;指向表头 M O V C A,@A+D P T R;查表 M O V T H0,A;将数据高位送T H0 M O V21H,A;将高位备份 M O V A,22H;将22H里存放的按键号送给A R L A;因为查表里都是字,所以得乘2查得数据 I N C A;取低位数据 M O V C A,@A+D P T R M O V T L0,A M O V20H,A W A I T2:L C A L L K E Y S E T B E A C J N E R3,#00H,W A I T1 J M P W A I T2 K E Y:M O V R3,#00H;K E Y子程序,判断有键按下否? 第几个键? M O V R1,#0F F H M O V R0,#00H M O VA,R1 M O V P2,A

EDA课设电子琴设计说明

理工大学《电子线路EDA》课程设计 课程设计任务书 学生: - 专业班级:电子科学与技术0903班指导教师:成军工作单位:信息工程学院题目: 简易电子琴设计 初始条件: QUARTUS 软件,微机, EDA-IV型实验箱。 课题要求: 结合所学的知识,利用QUARTUS采用VHDL语言设计乐曲演奏电路,可以演奏8个音符:1、2、3、4、5、6、7、1。 课题容: 时间安排: 1、2011年6月11日集中,听老师作课设安排与报告格式要求说明。 2、2012年6月12日至6月16日,选好课题,学习相关资料,开始课 设。 3、2012年6月17日至6月19日,进行硬件调试。 4、2012年6月20至6月21日,撰写实验报告。 5、2012年6月22日,检查硬件,进行答辩,提交设计报告。 设计一个简易的八音符电子琴,可以通过按键输入来控制蜂鸣器输出不同音调。指导教师签名: 2012年月日系主任(或责任教师)签名: 2012年月日理工大学《电子线路EDA》课程设计 目录 摘要.................................................................... (3) Abstract ............................................................. . (4) 绪论.................................................................... (5) 1设计简介.................................................................... . (6)

单片机电子琴音乐盒课程设计

课程设计报告 设计题目:单片机多功能音乐盒设计 【摘要】本设计是一个基于AT89C51系列单片机的音乐盒,依据单片机技术原理,通过硬件电路制作以及软件编译,设计制作出一个多功能多功能音乐盒。该音乐盒主要由按键电路、复位电路、时钟电路以及蜂鸣器组成。使用两个按键控制音乐盒,一个用来暂停歌曲,另一个用来切换歌曲本音乐盒共有四首歌曲,还有4*4矩阵键盘电子琴弹奏功能,播放歌曲时,蜂鸣器发出音调,矩阵键盘无扫描信号,不动作。当按下暂停歌曲键时,可继续弹奏电子琴。本设计利用KEIL编程软件对音乐盒源程序进行编程并调试,配合PROTEUS仿真软件对硬件进行仿真调试,节约了设计时间。 设计作者:吴文豪 专业班级/学号:10应电三班 1006020144 合作者1:专业班级/学号: 合作者2:专业班级/学号: 指导教师:王明文 设计时间:2012年5月12日———2012年6月3日

目录 引言 (1) 1.设计任务及要求 (2) 1.1设计任务 (2) 1.2设计要求 (2) 1. 3研究内容 (2) 2.系统总体设计 (3) 2.1系统结构框图设计及说明 (3) 3.软、硬件设计…………………………………………………………….. 3.1 系统硬件设计………………………………………………………… 3.1.1系统硬件原理图及工作原理说明………………………… 3.1.2单元电路设计原理与元件参数选择……………………… 3. 2系统软件设计…………………………………………………….. 3. 2. 1软件系统总流程图及设计思路说明…………………... 3. 2. 2软件各功能模块的流程图设计及思路说明…………... 4.安装与调试………………………………………………………………. 4.1安装调试过程……………………………………………………… 4.2调试中遇到的问题…………………………………………………5.结论………………………………………………………………………. 6.使用仪器设备清单………………………………………………………. 7.收获、体会和建议………………………………………………………. 8.参考文献…………………………………………………………………. 9.附录………………………………………………………………………

模电课程设计简易电子琴的设计

1. 模电课设概述 现在的电子琴一般使用PCM或AWM采样音源。所谓采样就是录制乐器的声音,将其 数字化后存入ROM里,然后按下键时CPU回放该音。甚至有一些高级编曲键盘可以使用外置采样(比如T yros 3的硬盘音色)。现代电子琴并非“模仿”乐器音色。它使用的就是真实乐器音色。当然,现在力度触感在电子琴里是必备的。而且现代电子琴还加上了老式电子琴的滤波器,振荡器,包络线控制来制造和编辑音色。甚至也带上了老式电子琴的FM 合成机构。 本次课程设计主要是通过对电子琴主体部分的电路进行模仿设计,按下不同琴键改变RC值,发出C调的八个基本音阶,采用运算放大器构成振荡电路,用集成功放电路输出 音调,从而达到电子琴固有的基本功能。 2. Proteus软件简介 Proteus软件是由英国LabCenter Electronics公司开发的EDA工具软件,由ISIS和ARES两个软件构成,其中ISIS是一款便捷的电子系统仿真平台软件,ARES是一款高级的布线编辑器,它集成了高级原理布线图、混合模式SPICE电路仿真、PCB设计以及自动布线来实现一个完整的电子设计。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。 Proteus软件的模拟仿真直接兼容厂商的SPICE模型,采用了扩充的SPICE3F5电路仿真模型,能够记录基于图表的频率特性、直流电的传输特性、参数的扫描、噪声的分析、傅里叶分析等,具有超过8000种的电路仿真模型。 Proteus软件支持许多通用的微控制器,如PIC、AVR、HC11以及8051;包含强大的调试工具,可对寄存器、存储器实时监测;具有断点调试功能及单步调试功能;具有对显示器、按钮、键盘等外设进行交互可视化仿真的功能。此外,Proteus可对IAR C-SPY、KEIL 等开发工具的源程序进行调试。 此外,在Proteus中配置了各种虚拟仪器,如示波器、逻辑分析仪、频率计,便于测 量和记录仿真的波形、数据。 3. 简易电子琴基本原理 3.1 音乐产生原理 由于一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我

简易电子琴课程设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采 用运算放大器构成振荡电路,用集成功放电路输出。已知八个基本音阶在C调时所对 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原 理并仿真实现系统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

计算机硬件课程设计电子琴设计说明

计算机硬件技术基础课程设计 电子琴设计 学院名称:自动化学院 学院名称:自动化学院 专业:自动化专业班级: ******** 学号: 2011**** : **** 学号: 2011**** : ****** 学号: 2011**** : ****** 学号: 2011**** : ****

评分:教师: **** 目录 目录...................................................................... I 摘要.................................................................... II 1 电子琴设计原理......................................................... - 0 - 1.1音阶频率对应关系................................................. - 0 - 1.2音阶对应频率所转换的十进制数..................................... - 0 - 2 软件设计总体方案及流程图............................................... - 1 - 2.1键盘扫描程序:................................................... - 1 - 2.2功能转移程序:................................................... - 1 - 2.3琴键处理程序:................................................... - 1 - 2.4自动播放歌曲程序:............................................... - 1 - 2.5 简要流程图....................................................... - 2 - 2.6 简要流程图(子程序)............................................. - 3 - 3 硬件电路介绍........................................................... - 4 - 3.1 8255A简介....................................................... - 4 - 3.2 8254简介........................................................ - 4 - 3.3 键盘简介......................................................... - 5 - 3.4 扬声器控制模块................................................... - 6 - 3.5 系统电路图....................................................... - 6 - 3.6 实物电路图接线................................................... - 7 - 3.7 按键操作说明..................................................... - 7 - 4 总结................................................................. - 8 - 5 附录................................................................. - 9 - 5.1心得体会......................................................... - 9 - 5.1.1 心得体会():.............................................. - 9 - 5.1.2 心得体会():............................................. - 10 - 5.1.3 心得体会():............................................. - 11 -

相关文档
最新文档