一位全减器实验报告

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

南昌大学实验报告

学生姓名:蔡斌学号:6100208099 专业班级:电子083班

实验类型:□验证□综合□设计□创新实验日期:2010.10.14 实验成绩:

实验一一位二进制全减器的设计

一、实验目的

(1)掌握Quartus II 的VHDL 文本设计的全过程;

熟练和掌握EDA设计流程;熟悉简单组合电路的设计,掌握系统仿真,学会分析硬件测试结果。

二、实验内容与要求

用文本方法实现半减器,再利用半减器完成全减器的设计,熟悉层次设计概念;

给出此项设计的仿真波形;

用发光管指示显示结果。

三、设计原理

(表中Diff表示本位向高位的借位,S_out表示本位)

(表中Sub_in表示低位向本位的借位,diffr表示本位输出,Sub_out表示本位向高位借位)

四、实验程序

(1)对半减器进行描述:(独立编写)

library ieee;

use ieee.std_logic_1164.all;

entity h_suber is

port(x,y:in std_logic;

diff,s_out:out std_logic);

end entity h_suber;

architecture one of h_suber is

begin

diff<=x xor y;

s_out<=(not x)and y;

end architecture one;

(2)对全减器进行原理图编辑:

五、实验步骤

1.建立工作库文件夹和编辑设计文件

(1)打开QuartusII,按提示在D盘下建立一个工程文件夹;

(2)建立新的VHDL文件,再打开的页面下输入半减器描述语言。

2.编译过程

(1)输入完程序之后选择“保存”,然后processing—analyze current files进行语法检查和分析。

(2)逐个编译无错之后进行全程编译processing—start—compilation。

3.系统仿真

(1)建立新的波形文件

(2)在波形编辑器窗口添加节点

(3)通过Edit->End Time 来设定仿真结束时间

(4)点击save保存

(5)通过Tools下的Simulator Tools项进行仿真,然后观察输出波形。

4.引脚锁定

(1)通过Assignment->Assignment Editor->Pin查找到所有的引脚

(2)选择各个输入输出信号来锁定到不同引脚,进行全程编译。

5.编程下载

(1)选择Tools->Programmer菜单,点击Hardware Setup窗口完成硬件设置

(2)点击Start开始编程下载

六、仿真波形分析

引脚的锁定:ain锁定为引脚53,bin锁定为引脚54,Sub_in锁定为引脚56,Sub_out 锁定为引脚167,diffr锁定为引脚168。

七、实验结果

由编程下载之后实验箱上显示的数据与波形图完全一致,符合全减器真值表。

八、实验体会

通过本实验,在最先设计一位全减器的时候又熟悉了以前学过的数字电路逻辑设计相关知识;试验中主要出现的问题就是波形仿真时出现毛刺,经过老师的指导,让三个输入尽量不在同一时间或相隔较近时间内改变,就解决了这个问题。另外就是在编辑原理图的时候,把自己编的半减器生成逻辑器件这儿出现了问题,在老师的指导下完成了,通过这个实验,我已经比较熟悉实验的操作流程和相关的功能菜

单选项,相信以后的实验我在应用这个软件上会得心应手。

相关文档
最新文档