数电课程设计四路彩灯

数电课程设计四路彩灯
数电课程设计四路彩灯

一﹑设计总体思路和设计原理

(1)设计总体思路

据任务书要求,彩灯显示要分为三个节拍在第一节拍时,从左边第一个彩灯到第四个彩灯依次亮起,即四路输出Q1至Q4依次为1。在第二个节拍里,彩灯从右至左依次熄灭,即四路输出Q4至Q1依次为0。第三个节拍时四彩灯同亮同暗两次,即彩灯同时输出“1”﹑“0”两次。根据其亮灭持续时间为1秒的要求,可以确定需要一个脉冲发生器,并要求其周期为1s,即频率为1Hz。

(2)设计原理

题目要求三个节拍,设计为一个分频器和一个计数器单元。则按照要求设计为计数器计三次数一循环。即计数器计三次数,每次为四秒,然后计数器清零循环。于是设计为一个分频器,使用74LS161对秒脉冲进行四分频,然后输入到计数器中。而计数器同样使用74LS161,其初始值为0。那么从分频器中来到第三个脉冲时对计数器清零。即计数器的循环计的数为0000B、0001B、0010B。使用到的器件为:两片74LS161和一个反相器。

其次,对于位移器按照题目要求:在第一节拍时,使第一路彩灯先点亮,接着第二,第三,第四路彩灯点亮。第二节拍时,使第四路彩灯先灭,然后使第三,第二,第一路彩灯灭。第三节拍时,闪亮两次。首先向右移,并且一直向右移1。然后是向左移,同理一直向左移1。最后要求值四次数,值数D0~D3分为1111、0000、1111、0000。值数的间隔为一秒。根据要求,采用74LS194作为位移器。第一节拍时,右移:S0和S1分别接入1和0。第二节拍时,左移:S0和S1分别接入0和1。第三节拍时,值数S0和S1分别接入1和1。而在计数器上对应输出的数分别为00、01、10。根据提供器件和要求,则用一些门电路组成一个计数器输出数的加1的加法器。使用到器件为:一片74LS194、一个与门、一个或门和一反向器。

最后是彩灯部分根据题目要求和位移器74LS194的输出特征,将用四个彩灯共阴极的连接方式。阳极分别连接74LS194的输出端Q0、Q1、Q2、Q3。使用到得器件为:四个二极管。

二、框图和总电路设计(1)框图

图1 系统设计框图

(2)总电路

图2 系统总电路

三、单元电路设计

(1)电路所用各芯片

a、计数器74LS161

此单元用于电路的计数,当脉冲变化时,实现相应的计数

74161功能表

74ls161引脚图与管脚功能表资料

74LS161是常用的四位二进制可预置的同步加法计数器,他可以灵活的运用在各种数字电路,以及单片机系统种实现分频器等很多重要的功能,:

<74ls161引脚图>

管脚图介绍:

时钟CP和四个数据输入端P0~P3

清零/MR

使能CEP,CET

置数PE

数据输出端Q0~Q3

以及进位输出TC. (TC=Q0·Q1·Q2·Q3·CET)

<74LS161功能表>

从74LS161功能表功能表中可以知道,当清零端CR=“0”,计数器输出Q3、Q2、Q1、Q0立即为全“0”,这个时候为异步复位功能。当CR=“1”且LD=“0”时,在CP信号上升沿作用后,74LS161输出端Q3、Q2、Q1、Q0的状态分别与并行数据输入端D3,D2,D1,D0的状态一样,为同步置数功能。而只有当CR=LD=EP=E T=“1”、CP脉冲上升沿作用后,计数器加1。74LS161还有一个进位输出端CO,其逻辑关系是CO= Q0·Q1·Q2·Q3·CET。合理应用计数器的清零功能和置数功能,一片74LS161可以组成16进制以下的任意进制分频器。

b、置数单元74LS194

此单元用于彩灯的置数,当脉冲变化时,确保置数以实现彩灯的控制

74LS194功能表

c、相应门电路

此门电路用于实现相应的逻辑函数

(2)循环控制电路

(3)花样彩灯输出电路

四、安装﹑调试步骤

第一,对工具和元件等仔细的检查,看是否有损坏或缺失。了解试验箱的个功能模块。对于要使用的模块检查是否有损坏,要求熟悉掌握要使用的功能模块。检查各元器件引脚是否缺失或损坏,对所有的导线进行检测,剔除以坏了的导线。对所有领取的器件进行整理。

第二,检测完元器件后,对各小的模块的安装位置合理把握安排。合理安排各元器件安装的位置,导线安插的位置。然后开始安装芯片及连线,要按照先小模块、后大模块再整体的原则进行安装调试。首先可连接秒脉冲模块,安装完毕后利用发光二极管进行检查。然后安装分频模块,同样利用发光二极管进行检查。再对循环模块进行连接,也可以将Q3~Q0连接到二极管进行检查电路连接的正确性。最后连接好最为重要的循环位移模块。对于循环右移模块的连接可先只连接好位移的部分,检查一个周期内是否正常。再连接加1加法器部分和计数器的清零连接。

由于面包板和线路的老化,导致接触不良的问题,所以在连接的时候一定要做到接好一个模块检查好一个模块。切勿整体连接好后在来查看效果。最后连接好后,检查是否完成预期的要求。重新断电后,再打开,看是否仍正常。

第四,完成接线并实现所有的功能后,找老师检查验收。老师验收检查正常完成后,就可以拆除芯片与导线了。在拆除芯片时一定小心。切不可使用蛮力拔除,以防止弄断芯片的引脚。整理好所有器件后归还好器件。

五、故障分析和电路改进

由于面包板和线路的老化,导致接触不良的问题和接线失误等认为因素必然会导致一些故障。对于故障是不可避免的,所以就需要我们耐心的排除故障。对于我们的情况而言,在连接的时候一个一个模块的连接,接好一个模块检查好一个模块是十分重要的。也是减少故障的最有效的方法。在接线时切勿为了贪图快速就整体连接好后,再来查看效果检查故障。往往电路会出现许多不可预料的故障和接线的错误。而且在最后连接好后,要反复检查是否完成预期的要求。做一个长时间的运行,以查看是否有错误。

由于第一次搞这设计,也是第一次接触这试验箱。所以第一次接好线实验成功后没有轻放箱子。第二天的时候,由于线没有接紧,所以有的线已经掉了,实验总是出现问题。所以只有把线拔掉。重新接线。这次我们小心仔细的把每一根线都接好、接紧。经过细心的接线。终于又一次接好了。并且实验成功。所以我们要做到重新断电后,再打开,看是否仍正常。检查时一定要仔细认真、沉着冷静,不可贪快、急躁。

六、总结与体会

将近两周的课程设计终于完了。

刚开始的时候,拿到题目就一直在想这个题目真难。但是设计总得完成啊,我和同组同学一起去网上,书上资料,经过我们不懈努力。终于把设计的框图完成了。接下来就是设计电路了,依样画葫芦,同样去网上,书上搜索找各芯片的功能。终于把电路设计好了。然后领试验箱接线,接线看起来简单。其实其中要很多耐心。刚拿到箱子想接线是小菜一碟了。打开箱子迅速把弄起来。线一下子就接好了。然后收好箱子休息。但是由于面包板和线路的老化。第二天打开箱子看的时候,发现那些彩灯不听话了。我们只能一根一根线的进行检查。费了老半天,终于明白是线接触不良。由于我们接线太轻松,有的线没有接好,所以导致接触不良。然后我们把线全拔掉,沉着,仔细,认真的再把线再重新接一遍。一根根的线插紧。

经过这次课程设计让我明白了我们的动手能力还有待提高,本来本科生大部分学的就是理论知识,动手能力比较差。从这次我们重新接一次线就可以看出,我们动手能力还不够仔细,认真,沉着。所以我们应该用沉着,认真,仔细来接待以后的每一次动手。

附录

元器件使用清单:

74LS194 1片

74LS161 1片

74LS00 1片

74LS04 1片

74LS32 1片

LED二极管4个

试验箱1个

面包板1块

导线若干

参考文献

1、《电子线路设计、实验、测试》(第二版)

华中理工大学出版社谢自美主编

2、《新型集成电路的应用》---------电子技术基础课程设计

华中理工大学出版社梁宗善主编

3、《电子技术基础实验》

高等教育出版社-------------陈大钦主编

电气与信息工程系课程设计评分表

指导教师签名:_____________

日期:_____________

注:①表中标*号项目是硬件制作或软件编程类课题必填类容;

②此表装订在课程设计说明书的最后一页。课程设计说明书装订顺序:封面、任务书、目录、正文、平分表、附件(非16K大小的图纸及程序清单)。

基于multisim的LED循环彩灯课程设计

课程设计报告 题目:基于Multisim的LED 循环彩灯设计与仿真课程名称:电子技术课程设计 学生姓名:程娅 学生学号:1414020205 年级:2014级 专业:电子信息工程 班级:2班 指导教师:王丽 电子工程学院制 2016年5月

基于Multisim的LED循环彩灯设计与仿真 学生:程娅 指导教师:王丽 电子工程学院电子信息工程专业 1 课程设计的任务与要求 1.1 课程设计的任务 (1)综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用。 (2)深入了解交通灯的工作原理。 (3)锻炼自己的动手能力和实际解决问题的能力。 (4)掌握multisim软件的操作并对设计进行仿真。 (5)通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。 1.2 课程设计的要求 (1)有十只LED,L0 (9) (2)显示方式: ①先奇数灯依次亮; ②再偶数灯依次亮; ③依次循环变化。 (3)显示间隔0.5s,1s可调。 2 LED循环彩灯系统方案制定 2.1 LED循环彩灯电路设计的原理 多谐振荡器是产生矩形脉冲的典型电路,常用来做脉冲信号源。多谢振荡器没有输入端,接通电源便自激振荡。多谢振荡器起振之后,电路没有稳态,只有两个暂稳态,他们交替变化,输出连续的矩形脉冲信号。 用555定时器构成的多谢振荡器,先用555定时器构成施密特触发器,然后将施密特触发器的输出端经RC积分电路接到施密特触发器的输入端。可以通过调节RC的大小来产生所需周期大小的脉冲信号。 2.2 LED循环彩灯电路的设计方案

根据设计要求,可画出此电路的整体框架图,如图1所示。 图1 系统实现流程 3 单元电路设计与分析 3.1 各功能模块的电路设计 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图2所示。 图2 振荡电路

四路彩灯设计

Proteus 仿 真 大 作 业 课题:四路彩灯设计系部: 班级: 姓名: 指导老师: 2013.05.31

前言 Proteus是世界上著名的EDA工具仿真软件,从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB 设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台。 使用Proteus 软件进行单片机系统仿真设计,是虚拟仿真技术和计算机多媒体技术相结合的综合运用,有利于培养学生的电路设计能力及仿真软件的操作能力;在单片机课程设计和全国大学生电子设计竞赛中,我们使用 Proteus 开发环境对学生进行培训,在不需要硬件投入的条件下,学生普遍反映,对单片机的学习比单纯学习书本知识更容易接受,更容易提高。实践证明,在使用 Proteus 进行系统仿真开发成功之后再进行实际制作,能极大提高单片机系统设计效率。 因此,有较高的推广利用价值。

目录 第一章四路彩灯、555简介 (5) 1.1 四路彩灯设计原理 (5) 1.2 555芯片简介 (5) 第二章课程设计任务及要求 (6) 2.1 设计任务 (6) 2.2 设计要求及器件 (6) 2.3 设计目标 (6) 2.4 设计软件环境要求 (6) 2.5 元器件列表 (7) 2.6系统逻辑框图 (7) 2.7 彩灯点亮过程 (7) 第三章Proteus仿真电路 (8) 3.1 绘制数字时钟电路Protues仿真原理图: (8) 3.1.1 启动ISIS 7 Professional软件 (8) 3.1.2 数字时钟原理图 (9) 3.1.3 时钟电路 (9) 3.1.4 计数电路 (11) 3.1.5 电路检测 (12) 3.1.6参数的计算 (12) 3.2 仿真调试 (12) 第四章调试及测试结果分析 (14) 4.1 结果的调试及分析 (14) 4.2测试电路的方法和技巧: (14) 4.3调试中出现的故障、原因及排除方法: (14) 总结 (15) 参考文献 (16)

multisim软件的数字电路——彩灯循环控制

数字电路课程设计报告 设计课题:彩灯循环控制器的设计 专业:电子信息科学与技术 班级: 20081421 学生姓名: 学号: 2008142115 学生姓名: 学号: 2008142134 指导教师: 二零一一年六月五日

一、实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件 的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 4.掌握multisim软件的操作并对设计进行仿真。 5.通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制 器的设计方法。 二、设计要求 1、有十只LED,L 0……L 9 2、显示方式 (1)先奇数灯依次亮 (2)再偶数灯依次亮 (3)依次循环变化 3、显示间隔0.5s,1s可调。 三、设计方案 1)课题的分析 此电路主要由五部分组成,其整体框图如图(一)所示。 图一

2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图(二)所示。 图二 (2)分频电路 用D触发器设置分频电路控制彩灯实现彩灯的显示时间。电路如图三 图三 其中开关C和B用来控制显示方式。

(3)计数器控制电路 用74LS161计数器转换脉冲信号用来控制彩灯的奇偶显示方式。 74LS161N 17 19 图四 (4)计数译码驱动电路 用74154译码74LS161计数器产生的信号驱动彩灯的显示。电路如图五: 74154N 4-16线译码器74154N中00-09接10个LED灯译码。(5)显示电路 用74154驱动彩灯的显示,电路如图六:

数电课程设计题目汇总..

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED 数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM 查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗: 〖主要参考元器件〗:CD4060,74LS74,74LS161,74LS248 电桥电路 供电电路 时钟电路 放大电路 A/D 转换 显示电路 时校 分校 秒校 24进制时计数器 单次或连续的脉冲 60进制分计数器 分频器 60进制秒计数器 译码电路 晶体振荡器 显示电路 译码电路 显示电路 显示电路 译码电路

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED 显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S 内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD 拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED 数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗 l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 外部操作开关 〖主要参考元器〗:CC4511,CC14522,CD4060 传感器 基准时间产生电路 倍频器 放大与整形 控制电路 计数译码 显 示电 路 秒脉冲发生器 计时器 译码显示 控制电路 报警电路

课程设计循环彩灯

课程设计说明书 课程名称:数字电子技术 设计题目:循环彩灯 院系:电子信息与电气工程学院 学生姓名:听风 学号:2010020200XX 专业班级:10级电子信息工程(1)班 指导教师: 2012 年5 月25日

课程设计任务书设计题目循环彩灯 学生姓名XX 所在院系电子信 息与电 气工程 学院 专业、年级、班 10级电子信息 工程(1)班 设计要求: 1、设计制作一个循环彩灯电路。 2、彩灯数量为8个,8个彩灯依次闪烁,彩灯亮灯时间为1秒。 3、器件:74LS192、74LS138、74LS00、555定时器各一片,48kΩ ,300 Ω,51kΩ,10uf,0.01uf各一个。 学生应完成的工作: 根据原理进行设计,方案论证,完成循环彩灯的原理图设计及PCB板的制作,手动布线完成PCB板图。然后将修改无误的PCB板图复制,转印,做板。再按照自己设计的电路原理图把电子元器件焊接到电路板上。经调试能正常工作,老师考查合格后,写好设计报告。 参考文献阅读: [1]童诗白.模拟电子技术基础[M].北京:高等教育出版社,2005. [2] 郑君里、杨为理信号与系统[M]北京:高等教育出版社,2011 [3] 谷树忠、刘文洲、姜航Altium Designer 教程北京:电子工业出版社,2006 [4]邱关源,罗先觉.电路(第五版)[M].北京:高等教育出版社,2006. [5]阎石.数字电子技术(第五版)[M].北京:高等教育出版社,2005. 工作计划: 2012年5月14日上午:课程设计说明、软件培训。下午:Multism电路仿真。 2012年5月15日:电路设计与仿真。 2012年5月16日上午:电路设计与仿真。下午:指导教师单独指导。 2012年5月17日:用Altium Designer 10设计原理图。 2012年5月18日:用Altium Designer 10设计PCB。 2012年5月21日上午:循环彩灯制版。下午:循环彩灯安装。 2012年5月22日:指导课程设计报告书写。 2012年5月23日:指导课程设计报告书写。 2012年5月24日:电路调试。 2012年5月25日:设计验收设计报告收交。 任务下达日期:2012 年 5 月14 日 任务完成日期:2012 年 5 月25 日 指导教师(签名):学生(签名):

六路彩灯循环控制器数电课程设计报告书

一.设计目的及要求 1.1 课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5种演示花型: 花型1:6路彩灯同时亮; 花型2:6路彩灯同时灭; 花型3:6路彩灯从左至右逐路点亮; 花型4:6路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6路彩灯右侧三个全亮,同时左侧三个全灭;

要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3、花型4,花型5、花型1······且电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图 2.1 题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个74LS160,一个74LS42和两个74HC194,这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2题目设计 花型1,111111;花型2,000000;花型3,100000——010000——001000——000100——000010——000001;花型4,111000;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3 结构框图

数字逻辑课设-循环彩灯系统设计

学生课程设计报告书 课程:数字逻辑 课题:循环彩灯系统设计 级计算机信息技术系 专业班 学号: 姓名: 指导教师: 2012—2013学年第 2 学期

循环彩灯系统设计 一、设计目的 1.设计一循环彩灯控制线路,在通过逻辑电平开关设置初始状态后,能实现8路LED彩灯循环亮灯。 2.综合应用数字逻辑知识设计一个循环彩灯系统。了解各种元器件的原理及其应用。 3.掌握multisim软件的操作并对设计进行仿真。 4.锻炼自己的动手能力和实际解决问题的能力。 二、设计任务与要求 1、设计任务 设计一循环彩灯控制线路,在通过逻辑电平开关设置初始状态后,能实现8路LED彩灯循环亮灯。 2、设计要求 1.利用Multisim仿真软件完成8路循环彩灯控制电路系统的设计,且发光二极管的亮度明显可见。 2.根据课设要求确定电路的形式,分析其工作原理,计算元件参数。 3.列出需要的元件清单,在仿真软件中找出并连接好线路。 4.在仿真软件上连接好点啦,并且测试,达到要求。 5.记录实验结果,使得彩灯有规律的发亮,按顺序显示彩灯,当一个循环结束,返回继续循环:绿--绿— 蓝—蓝—红—红—橙—橙,接着返回绿色循环 6.原件和芯片的放置合理,使得界面整洁美观,布线紧密合理。 三、8路彩灯循环系统电路原理及设计 1、设计方案 根据课设要求,循环彩灯系统,首先需要产生一定的脉冲信号来实现,通过采用555定时器通过链接成一个多谐振荡器,振荡电路来实现;各个彩灯发亮之间需要一定的显示时间,此功能可以通过添加D触发器来实现,然后用74LS160计数器转换脉冲信号用来控制彩灯的显示方式。最后链接其显示电路,通过74154N译码器实现。 1.根据课题要求,列出电路中各个功能需要的芯片和原件, 元器件列表 表1 序号器件名称数量备注 1 555定时器 1 连接成多谐振荡产生 脉冲信号

数电课程设计-彩灯

课程设计报告 ( 2009 -- 2010 年度第二学期) 名称:数字电子技术课程设计 题目:彩灯控制系统 学号: 学生姓名: 成绩: 日期:2010 年5 月21 日

目录 一、电子技术课程设计的目的与要求 (3) 二、课程设计名称及设计要求 (3) 三、系统框图及简要说明 (3) 四、方案选择与论证 (4) 五、单元电路设计(原理、芯片、参数计算等) (4) 六、总体电路 (6) 七、实验过程 (6) 八、心得体会 (7) 附录I:总原理图 (7) 附录II:multisim仿真图 (8) 附录III:元器件清单 (9) 附录IV:参考文献 (9)

一、电子技术课程设计的目的与要求 设计目的: 课程设计作为数字电子技术课程的重要组成部分,目的是使学生进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,培养学生实际动手能力以及分析、解决问题的能力。 按照本专业培养方案要求,在学完专业基础课数字电子技术课程后,应进行课程设计,其目的是使学生更好地巩固和加深对基础知识的理解,学会设计小型数字系统的方法,独立完成系统设计及调试,增强学生理论联系实际的能力,提高学生电路分析和设计能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 设计要求: 1.教学基本要求 要求学生独立完成选题设计,掌握数字系统设计方法;完成系统的组装及调试工作;在课程设计中要注重培养工程质量意识,按要求写出课程设计报告。 2.能力培养要求 (1)通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 (2)通过实际电路方案的分析比较、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 (3)掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 (4)综合应用课程中学到的理论知识去独立完成一个设计任务。 (5)培养严肃认真的工作作风和严谨的科学态度。 二、课程设计名称及设计要求 彩灯控制电路: 1.设计任务 本课题要求设计一个具有一定变幻功能的四路彩灯显示系统。 2.技术指标及要求: (1)四路彩灯从左向右逐次渐亮,间隔为1秒。 (2)四路彩灯从右向左逐次渐灭,间隔为1秒。 (3)四路彩灯同时点亮,时间为0.5秒,然后同时变暗,时间为0.5秒, 反复4次。 3. (选做)多种图形方案选择显示。 三、系统框图及简要说明 图一简化设计框图

数电课程设计 双色三循环彩灯控制器电路

四川航天职业技术学院 电子工程系课程设计专业名称:电子工程系 课程名称:模电课程设计 课题名称:双色三循环彩灯控制器 设计人员: 指导教师:

年月日 《数字电子技术课程设计》任务书 一、课题名称:双色三循环方式彩灯控制器设计 二、技术指标: 1、电源VDD=12v 2、相邻两灯点亮的时间约在0.3-0.7s间可调,延时时间约在 1-6s间可调 3、计数器和译码器分别采用CMOS中规模集成电路CC4516 和CC4514 4、译码器4145共16个输出。 5、CMOS非门构成的振荡器的振荡周期T=1.4RC,555构成的振 荡器的振荡周期T=0.7(R1+2R2)C 三、要求: 1、控制器有3种方式: 方式A:单绿左移—单绿右移—单红左移—单红右移; 方式B: 单绿左移—全熄延时伴声音; 方式C:单红右移—四灯红闪、四灯绿闪延时。 2、控制器有8路输出,每路用双色发光二极管指示。 3、由单刀三掷开关控制3种方式,每种方式用单色发光二极 管指示 4、论文格式按系下发的《课程设计格式要求》统一执行。 5、要求原理图、印制板图、装配图三图齐全(印制板图和装 配可合二为一)。 指导教师:

学生: 电子工程系 年月日 课程设计报告书评阅页 课题名称:双色三循环彩灯控制器 班级: 姓名: 年月日指导教师评语:

考核成绩:指导教师签名: 年月日 摘要 循环彩灯的电路很多,循环方式更是五花八门,而且有专门的可编程彩灯集成电路。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制电路主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的双色循环彩灯控制器就是用计数器和译码器来实现,其特点用双色发光二极管,能发红色和绿色两色光。 关键词:计数器,译码器,集成,双色发光二极管

数电课程设计-四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级:110422 学号:姓名:陈粤龙 评分:教师: 20 13 年9 月23 日 数字电路课程设计任务书20 12 -20 13 学年第 1 学期第19 周-20周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3) 四亮四灭,从左向右移动 (4) 从1~8从左到右逐次点亮,然后逐次熄灭 (5) 四种花样自动变换。 进度安排 1.?布置任务、查阅资料、选择方案、领仪器设备:2天 2.?仿真、画PCB线路图:2天 3.?领元器件、制作、焊接:3天 4.?调试:2天 5.?验收:1天 6.?提交报告:2013-2014学年第一学期?2-3周 学生姓名:陈粤龙

注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。 前 言 彩灯控制器有着非常广泛的运用,如:LED 彩灯,音乐彩灯控制器,二维彩灯控制器等等,现简单介绍如下: 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用 彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一 指导时间:2周 指导地点: E610 任务下达 2013年 9月 22日 任务完成 2013 年 9 月 25日 考核方式 1.评阅 □ 2.答辩 □ 3.实际操作□ 4.其它 □ 指导教师 系(部)主任 摘 要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D 触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D 触发器。当彩灯完成一种花样时,双D 触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲 分频 自动转换 控制器 数据选择器

模电课程设计参考题目

; 课程设计题目 一.多功能信号发生器的设计(三选一) 设计要求: 1.能输出1~10KHz连续可调的正弦波-方波-三角波。 正弦波峰-峰值U P-P≥6V 方波的峰-峰值U P-P≥10V 三角波的峰-峰值U P-P≥5V 要求用集成运算放大器μA741,LM324或其他型号的运算放大器实现。 2.> 3.能输出1~10KHz连续可调的正弦波-方波-锯齿波。要求同上。 4.能输出1~10KHz连续可调的方波-三角波-正弦波函数转换器。要求同上。 二.带前置放大的音频功率放大器(二选一) 设计要求: 1.前置放大器的放大倍数为10倍,使用双/单路低噪声集成运放NE5532/NE5534、OP-27A,功率放大采用LA4100、或LM386、或其他型号。音量可调,杂音小,有电源退耦,无自激。 2.用集成功放TDA1521、TDA2030A或LM1875等 用桥式整流电容滤波集成稳压块电路设计电路所需的直流电源(查功放最低的直流电压)。 三.设计一OCL音频功率放大器 ? 设计任务和要求 1.OCL前面要有推动级。输入信号为ui=10mV, 频率f=1KHz; 2.额定输出功率Po≥2W; 3.负载阻抗R L=8Ω;失真度γ≤3%; 4.用桥式整流电容滤波集成稳压块电路设计电路所需的正负直流电源。 四.设计一OTL音频功率放大器 设计任务和要求 1.OTL前面要有推动级。设音频信号为ui=10mV, 频率f=1KHz; 2.! 3.额定输出功率Po≥2W;负载阻抗R L=8Ω;失真度γ≤3%; 4.用桥式整流电容滤波集成稳压块电路设计电路所需的正负直流电源。 五.多级低频电压放大器 设计要求:

课程设计----四路彩灯显示系统设计

数字电路逻辑设计 实 验 报 告 设计题目: 专业班级: 姓名: 学号:

设计课题:四路彩灯显示系统设计 1.设计任务和要求 设计一个四路彩灯控制器,设计要求如下: (1)接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2)设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s,共用4s; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s; 第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,所需时间也 为4s。 (3)三个节拍完成一个循环,一共需要12s。一次循环之后重复进行闪烁。 2. 设计分析 四路彩灯既有四路输出,设依次为d Q、c Q、b Q、a Q,若“1”表示灯亮,“0”表示灯灭,由课题要求可知四路彩灯显示系统要求如下表1所示的输出显示。 表1 四路彩灯输出显示

由上表可知,需要一个分频器起节拍产生和控制作用,每4s 一个节拍,3个节拍共12s 后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。 分频及节拍控制可以用一个模12计数器来完成;彩灯渐亮、渐灭可以用器件的左移、右移功能来实现,因此可选用移位寄存器74194来完成。同时亮0.5s 、同时灭0.5s 可考虑把1Hz 的秒脉冲信号直接加到输出显示端来完成。 综上所述,要完成四路彩灯显示功能需要有分频器、节拍控制器、节拍程序执行器及脉冲源等电路。 记第一,二,三节拍分别为012Y YY 有效时间应为4秒,0Y 结束1Y 马上开始,1 Y 后2Y 马上开始,如此循环不断。为此可考虑采用移位寄存器构成的移位型控制器。由于有三个状态,因此需要用三个触发器对现时状态进行记忆,为使各状态的有效时间间隔为4秒,则驱动该移位控制器动作时钟周期应为4秒。应在开机瞬间,使移位型控制器的状态被确定下来,即012Y YY 节拍应为100,可控制输入信号使触发器置位、复位来实现。 为实现0Y 功能要求器件具有右移功能,为实现1Y 功能要求器件有左移功能;而且左、右移输入可为“0”也可为“1”;为实现2Y 功能,要求器件同时具有并行置数功能。因此可选用一种具有左移、右移和并行置数功能的通用移位寄存器74LS194。74LS194具有并行输入端A 、B 、C 、D ,并行输出端A Q 、B Q 、C Q 、D Q ,右移输入端SR ,左移输入端SL 和模式控制输入端0S ,1S 以及一个无条件直接清除端CLR 。模式控制输入0S ,1S 有00、01、10、11四种组合方式,分别表示双向移位寄存器所具有的四种功能,即禁止、右移、左移和并行置数。为了使当 012Y YY =100时,01S S =01(右移),012Y YY =010时,01S S =10(左移),当012Y YY =001时01S S =11(并行置数)。 74LS194的输出端初态均为零,在开机瞬间,使移位控制端01S S 的状态被确 定下来,即 012Y YY =100时,01S S =01 右移串行数据输入端 SR 经脉冲信号经四分频电路和 通过两或门组成的节拍电路,使四路彩灯从右到左依次亮共 4秒 ,

欧姆龙循环彩灯课程设计(自编)

题目4:循环彩灯PLC控制系统设计 专业:自动化年级:2009级班级:09自动化一班姓名:XXX 摘要 随着人们生活水平的提高,环境的不断改善和美化,PLC对人类的影响越来越广。在许多场合可以看到很多彩色的霓虹灯。特别是当今充满竞争的时代,各地政府为吸引游客和投资者,在城市的沿街、沿道、沿河、沿线等地用霓虹灯造景,实施“亮化工程”,以美化环境、树立城市形象。 由于LED彩灯克服了传统霓虹灯投资大,制作工艺复杂,使用玻璃管、高压电源及惰性气体等诸多不便,同时解决了耗电高、造价高,使用寿命短的不足,因此得到了广泛的应用。越来越多的商家开始关注这块商机无限的市场,竞相制作生产。 但是目前市场上各种式样的LED彩灯多半是采用全硬件电路实现,电路结构复杂、功能单一,这样一旦制成成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮度时间、模式、闪烁频率等动态参数。同时这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点。此外从功能上来看,亮灯模式少而且样式单调,缺乏用户可操作性,影响亮灯效果。因此有必要对现有的彩灯控制器进行改进。 关键字:PLC LED彩灯控制器 一、设计要求及任务 系统功能和控制要求:

1、流水型彩灯控制: 按下流水型彩灯启动按钮A,彩灯从前往后流水型控制,各灯点亮时间为1s,5s后从后往前流水型控制,循环10次后自动停止,各灯点亮。 按下流水型彩灯启动按钮B,彩灯从前往后隔位点亮流水型控制,并无限循环,各灯点亮时间自行设定;按下停止按钮系统停止工作。 2、发射与聚集型彩灯控制: 按下发射型彩灯启动按钮:彩灯以L5—L6,L4—L7,L3—L8,L2—L9,L1—L10顺序发射型依次点亮,各灯亮2s循环5次自动停止; 按下聚集型彩灯启动按钮:彩灯以L1—L10,L2—L9,L3—L8,L4—L7,L5—L6顺序聚集型依次点亮,各灯亮2s循环5次自动停止; 3、四灯同亮型彩灯控制: 自行设计一种四灯同亮控制规律,要求有5种四灯同亮模式,各模式依次点亮,点亮时间为2s,要求一次循环每个灯均被点亮2次。循环5次后自动停止。 按下四灯同亮彩灯启动按钮,彩灯按设计的四灯同亮控制规律运行。 4、综合彩灯控制: 将上述各种彩灯控制功能综合,自行设计控制规律,要求在按下综合彩灯启动按钮时彩灯按设计的控制规律循环运行,直至按下系统停止按钮时停止工作。要求一次循环中必须要有上述各种彩灯控制功能,每种功能在一次循环中出现不超过两次。 二、系统分析 近年来不断开发出许多功能模块,如高速计数模块、温度控制模块、远程I/O模块、通信和人机接口模块等。这些带CPU和存储器的智能I/O模块,既扩展了PLC功能,又使用灵活方便,扩大了PLC应用范围。加强PLC联网通信的能力,是PLC技术进步的潮流。PLC的联网通信有两类:一类是PLC之间联网通信,各PLC生产厂家都有自己的专有联网手段;另一类是PLC与计算机之间的联网通信,一般PLC都有专用通信模块与计算机通信。为了加强联网通信能力,PLC生

四路彩灯显示电路数字逻辑课程设计

数字电路逻辑设计 课 程 设 计 设计题目:四路彩灯显示系统 专业班级: 姓名: 学号: 设计课题:四路彩灯显示系统设计 1.设计任务和要求 设计一个四路彩灯控制器,设计要求如下: (1)接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2)设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s,共用4s; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s; 第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,所需时间 也为4s。

(3)三个节拍完成一个循环,一共需要12s 。一次循环之后重复进行闪烁。 2. 设计分析 四路彩灯既有四路输出,设依次为 d Q 、 c Q 、 b Q 、 a Q ,若“1”表示灯 亮,“0”表示灯灭,由课题要求可知四路彩灯显示系统要求如下表1所示的输出显示。 表1 四路彩灯输出显示 由上表可知,需要一个分频器起节拍产生和控制作用,每4s 一个节拍,3个节拍共12s 后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。 分频及节拍控制可以用一个模12计数器来完成;彩灯渐亮、渐灭可以用器件的左移、右移功能来实现,因此可选用移位寄存器74194来完成。同时亮0.5s 、同时灭0.5s 可考虑把1Hz 的秒脉冲信号直接加到输出显示端来完成。 综上所述,要完成四路彩灯显示功能需要有分频器、节拍控制器、节拍程序执行器及脉冲源等电路。 记第一,二,三节拍分别为012Y Y Y 有效时间应为4秒,0Y 结束1Y 马上开始, 1Y 后2Y 马上开始,如此循环不断。为此可考虑采用移位寄存器构成的移位型控制

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

EDA课程设计八路彩灯控制器样本

EDA课程设计 设计题目: 基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.经过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器, 能够控制8路彩灯按照两种节拍, 三种花型循环变化。设计完成后, 经过仿真验证与设计要求进行对比, 检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序, 设计一个两种节拍、三种花型循环变化的8路彩灯控制器, 两种节拍分别为0.25s和0.5s。三种花型分别是: ( 1) 8路彩灯分成两半, 从左至右顺次渐渐点亮, 全亮后则全灭。 (2)从中间到两边对称地渐渐点亮, 全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮, 全亮后逆次序依次熄灭。 四、实验环境

PC机一台; 软件QuartusⅡ6.0 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块: 把时钟脉冲二分频, 得到另一个时钟脉冲, 让这两种时钟脉冲来交替控制花型的速度。 二选一模块: 选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块: 整个系统的枢纽, 显示彩灯亮的情况。 2、系统硬件单元电路设计 1.分频模块设计 实验程序: library ieee; use ieee.std_logic_1164.all; entity fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin

数电课程设计循环彩灯控制器

课 程 设 计 说 明 书 班级:电子信息0901 学号:0501090108 学生姓名:张亚军 指导教师:曹建生 日期:2011.1.04

绪论 自1879年美国科学家爱迪生发明了白炽灯以来,便结束了人类“黑暗“的历史,给人类以光明,创造了巨大的财富。如今灯光已成为人民生活中必不可少的家用品。而相续发展起来的的循环彩灯也成为时代前沿的时尚艺术,它以现代高科技为基础,随着高技术日新月异的发展,其艺术性和表现力都产生了质的飞跃,实现了艺术上的创新与突破,不断创造出令人惊叹、叫绝的视觉艺术效果,给人们带来了美的享受和心灵上的震撼。 伴随着人们生活环境的不断改善和美化,在许多场合可以看到霓虹灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点而且价格昂贵。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性,影响亮灯效果。因此有必要对现有的彩灯控制器进行改进。 利用控制电路可使彩灯按一定的规律不断的改变状态,不仅可以获得良好的观赏效果,而且可以省电(与全部彩灯始终全亮相比)。再由于人们对于物质生活的要求也在逐渐提高,不光是对各种各样的生活电器的需要,也开始在环境的幽雅方面有了更高的要求。比如日光灯已经不能满足于我们的需要,彩灯的运用已经遍布于人们的生活中,从歌舞厅到卡拉OK包房,从节日的祝贺到日常生活中的点缀。这些不紧说明了我们对生活的要求有了质的飞跃,也说明科技在现实运用中有了较大的发

四路彩灯设计实验报告

电子工艺与数字逻辑课程设计报告 班级: 姓名: 学号: 指导教师: 撰写日期:2013.06.09 肇庆学院 计算机学院软件学院

目录 第一章课程设计内容与要求分析 ................................................................................................... - 3 - 1.1任务与要求 (3) 1.2设计要求 (3) 1.3主要设计条件 (3) 第二章设计总思路........................................................................................................................... - 4 - 2.1基本原理 (4) 2.2框图 (4) 第三章单元电路设计......................................................................................................................... - 5 - 3.1时钟脉冲产生电路 (5) 3.1.1....................................................................................................................................... - 5 -3.1.2具体实现...................................................................................................................... - 5 -3.2循环控制电路 (6) 3.2.1....................................................................................................................................... - 6 -3.2.2....................................................................................................................................... - 6 -3.3彩灯花样输出电路.. (6) 3.3.1运用到74LS194功能表.............................................................................................. - 6 -3.3.2通过12进制计数器的输出端的C、D信号控制移位寄存器的S0和S1及其CLR'端真值表..................................................................................................................................... - 7 - 第四章总电路设计......................................................................................................................... - 8 - 第五章实验、调试及测试结果分析 ............................................................................................... - 8 - 5.1结果的调试及分析 (8) 5.2调试中出现的故障、原因及排除方法 (9) 5.2.1彩灯只有一种花样变化,没有其它的花样:.......................................................... - 9 -5.2.2彩灯无规律变化:...................................................................................................... - 9 -5.2.3彩灯的四种花样都有,但一次循环结束到另一次循环开始中间有段时间彩灯不亮:..................................................................................................................................................... - 9 -第六章课程设计总结..................................................................................................................... - 10 - 参考文献........................................................................................................................................... - 10 - 附录................................................................................................................................................... - 10 -

相关文档
最新文档