南昌大学EDA实验要求及报告要求

南昌大学EDA实验要求及报告要求
南昌大学EDA实验要求及报告要求

实验一全加器的设计

(一)实验目的

以四位二进制全加器为例熟悉利用QuartusII的原理图输入方法和文本输入法设计简单组合电路;学习多层次工程的设计方法。

(二)实验要求

⑴用文本方法实现一位全加器,再采用层次设计法用原理图输入完成4位全加器的设计;

⑵给出此项设计的仿真波形;

⑶用发光LED指示显示结果。

(三)实验流程

1、创建1位全加器工程,新建verilog文本文件,编译,转换为.bsf符号文件。

2、同一文件夹下创建4位全加器工程,新建bdf原理图文件并编译。

3、新建vwf波形文件,时序仿真验证加法功能。

4、引脚锁定并再次编译。

5、添加.sof文件下载测试。

(四)实验效果

实验二模可变计数器的设计

(一)实验目的

1、进一步熟悉EDA开发板和QuartusⅡ软件的使用方法;

2、学习静态数码管的使用;

3、学习计数器的设计、仿真和硬件测试;学习7段数码显示译码器设计;

(二)实验要求

设计模可变计数器,可任选模的大小(例模15、模115),实验要求:

(1)设置一位控制位M,要求M=0:模X计数;M=1:模Y计数;

(2)计数结果用3位数码管显示,显示BCD码;

(3)给出此项设计的仿真波形;

(4)选择实验电路验证此计数器的功能。

设置涉及2个开关和一个按键,一个开关控制改变模值,另一开关作为使能控制,按键作为异步清0。

(三)实验程序

(四)实验波形

(五)测试效果

实验三序列信号发生和检测器设计

(一)实验目的:学习一般有限状态机的设计,用状态机实现序列发生和检测器的电路设计。(二)实验要求:先实现串行序列发生器的设计,产生序列0111010011011010;再设计检测器,若检测到串行序列11010则输出为“1”,否则输出为“0”,并对其进行仿真和硬件测试,选择实验电路验证功能。

下载程序后,可通过led串行输出序列信号,另用五个led灯来观测待检测序列,当11010五个全部出现在led上时,标识位灯M亮起,说明检测到“11010”的信号,即符合设计要求。

产生的序列和检测的序列值可任选。

发生器和检测器最好异步,以确保能检测到,可以将时钟经非门后再接入检测器。(三)序列检测状态转移图

(四)实验程序

(五)仿真波形

(六)实验效果

实验四交通灯控制

(一)实验目的:学习设计优化和状态机的设计。学习较复杂数字系统设计;

(二)设计要求

实现一个由一条主干道和一条乡间公路形成的十字路口的交通灯控制器功能:

1、有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡绿)

六盏交通灯需要控制;

2、交通灯由绿转红前有4秒亮黄灯的间隔时间,由红转绿没有间隔时间;

3、乡间公路右侧各埋有一个串连传感器,当有车辆准备通过乡间公路时,发出请求信

号S=1,其余时间S=0;

4、平时系统停留在主干道通行(MGCR)状态,一旦S信号有效,经主道黄灯4秒

(MYCR)状态后转入乡间公路通行(MRCG)状态,但要保证主干60s后才能转

换;

5、一旦S信号消失,系统脱离MRCG状态,即经乡道黄灯4秒(MRCY)状态进入

MGCR状态,即使S信号一直有效,MRCG状态也不得长于20秒钟;

(三)实验程序

(四)仿真波形

(五)实验效果

1.复位时,起始状态是主绿乡红,数码管从60开始倒计时。

2.当60s减1计数完成后,如果s为1(代表乡干道有车要求通过)时,变为主黄乡绿状态,数码管4s倒计时;如果s为0,则回到起始状态,主绿乡红重新60s倒计时。

3.4s倒计时后,进入主红乡绿状态,如果此时s信号为0,则立即转入主红乡黄状态;如果s信号一直为1,则数码管开始20s倒计时,计数期间一旦出现s信号为0,则立即转入主红乡黄状态,即使s信号一直为1,当20s倒计时完成后也会入主红乡黄状态。

4.主红乡黄,数码管开始4s倒计时,计数完成后进入主绿乡红状态,数码管60s倒计时,重复上述状态。

实验五多功能数字钟设计

(一)实验目的

1、学习综合且较复杂数字系统设计;

2、学习多层次、多模块数字系统设计;

(二)设计要求

1、数码管显示时、分、秒;

2、具有正常计时和调时、调分等校时功能;

3、经设置应具有整点报时功能(在59分56秒后开始报时,并用一串LED管显示);

4、经设置应具有闹钟功能(用LED管点亮表示,时间为一分钟);

(三)实验程序

(四)实验波形

(五)实验效果

当RST为0时,计数值清零,当RST为高电平时,开始计数,当计时到59分56秒后开始三秒的整点报时,用一串LED管显示,当K为高时进行正常计数,K为低时进行正常的闹钟设置切换,当REMIN为低时,进行调分,当REHOUR为低时,进行调时,当到达闹钟所设定的时间时,进行闹铃功能,显示为LED管点亮一分钟。

WEB编程实验报告---南昌大学

实验报告 实验课程:JA V A WEB编程技术 学生姓名: 学号: 专业班级:物流101班 2013年 06 月 12 日 目录

实验一WEB编程环境......................... 错误!未定义书签。实验二HTML和CSS编程技术 (7) 实验三JA V ASCRIPT编程技术 (10) 实验四SERVLET编程技术 (13) 实验五JSP编程技术 (16) 实验六JA V ABEAN编程技术 (21) 实验七基于MVC模式构建系统 (25) 南昌大学实验报告 学生姓名:学号:专业班级:物流101班

实验类型:□验证□综合■设计□创新实验日期:实验成绩: 一、实验项目一Web编程环境 二、实验目的 第1章搭建Web编程环境,能正确安装配置java运行环境、WEB服务器和数据库服务器 第2章熟悉WEB编程集成环境MYEclipse. 第3章熟练掌握WEB工程的创建、发布、运行流程。 三、实验内容 1.安装并配置java运行环境JDK和JRE 2.安装Web服务器tomcat, 配置Tomcat服务器 3.安装并配置数据库MySQL. 4.安装MyEclispe,熟悉各项菜单项 5.为MyEclispe集成配置JDK和Tomcat 6.创建、发布、运行一个WEB工程。 四、实验仪器及耗材 计算机,JDK,TOMCA T, MySQL, MyEclipse等软件。 五、实验步骤 1.先安装jdk1.6,选择自定义安装,安装到C:\JDK 2.配置环境变量,class:.;C:\JDK\bin , classpath:.;C:\JDK\lib ,java_home: C:\JDK 安装tomcat,安装在C:\ Tomcat 下,配置tomcat_home环境变量,CATALINA_HOME: C: \Tomcat,CATALINA_BASE: C: \Tomcat,TOMCAT_HOME: C:\Tomcat 然后修改环境变量中的classpath,把tomat安装目录下的common\lib下的servlet.jar 追加到classpath中去,修改后的classpath如下: classpath=.;%JAVA_HOME%\lib\dt.jar;%JAVA_HOME%\lib\tools.jar;%CATALINA_HOME%\c ommon\lib\servlet.jar;

西安电子科技大学EDA实验报告

EDA大作业及实验报告

实验一:QUARTUS Ⅱ软件使用及组合电路设计仿真 实验目的: 学习QUARTUS Ⅱ软件的使用,掌握软件工程的建立,VHDL源文件的设计和波形仿真等基本内容; 实验内容: 1.四选一多路选择器的设计 首先利用QuartusⅡ完成4选1多路选择器的文本编辑输入(mux41a.vhd)和仿真测试等步骤,给出仿真波形。 步骤: (1)建立工作库文件夹和编辑设计文件; (2)创建工程; (3)编译前设置; (4)全程编译; (5)时序仿真; (6)应用RTL电路图观测器(可选择) 实验程序如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux41 IS PORT( S10:IN STD_LOGIC_VECTOR(1 DOWNTO 0); A,B,C,D:IN STD_LOGIC; Q:OUT STD_LOGIC ); END ENTITY mux41; ARCHITECTURE bhv OF mux41 IS BEGIN PROCESS(A,B,C,D,S10) BEGIN IF S10="00" THEN Q<=A; ELSIF S10="01" THEN Q<=B; ELSIF S10="10" THEN Q<=C; ELSE Q<=D; END IF; END PROCESS; END bhv; 波形仿真如图:

其中,分别设置A,B,C,D四个输入都为10.0ns的方波,其占空比分别为25%,50%,75%,90%以作为四种输入的区分,使能端s10以此输入00(即[0]),01(即[1]),10(即[2]),11(即[3]),可以观察到输出端Q依次输出分别为A,B,C,D。试验成功。 其RTL电路图为: 2.七段译码器程序设计仿真 2.1 原理:7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用VHDL译码程序在FPGA或CPLD中实现。本项实验很容易实现这一目的。例1作为7段BCD码译码器的设计,输出信号LED7S的7位分别接如实验图1数码管的7个段,高位在左,低位在右。例如当LED7S输出为"0010010" 时,数码管的7个段:g、f、e、d、c、b、a分别接0、0、1、0、0、1、0,实验中的数码管为共阳极的,接有低电平的段发亮,于是数码管显示“5”。 实验图1 数码管及其电路 2.2 实验内容:参考后面的七段译码器程序,在QUARTUS II上对以下程序进行编辑、编译、综

南昌大学低电阻测量实验报告

南昌大学物理实验报告 课程名称:大学物理实验 实验名称:低电阻测量 学院:专业班级: 学生姓名:学号: 实验地点:座位号: 实验时间:

其中r1、r2 分别是连接安培表及变阻器用的两根导线与被测电阻两端接头处的接触电阻及导线本身的接线电阻,r3、r4 是毫伏表和安培表、滑线变阻器接头处的接触电阻和接线电阻。通过安培表的电流I 在接头处分为I1、I2 两支,I1 流经安培表和R 间的接触电阻再流入R,I2 流经安培表和毫伏表接头处的接触电阻再流入毫伏表。因此,r1、r2 应算作与R 串联;r3、r4 应算作与毫伏表串联。由于r1、r2 的电阻与R 具有相同的数量级,甚至有的比R 大几个数量级,故毫伏表指示的电位差不代表R 两端的电位差。也就是说,如果利用毫伏表和安培表此时所指示的值来计算电阻的话,不会给出准确的结果。 为了解决上述问题,试把连接方式改为如图2(a)所示的式样。同样用电流流经路线的分析方法可知,虽然接触电阻r1、r2、r3 和r4 仍然存在,但由于其所处位置不同,构成的等效电路改变为图2(b)。由于毫伏表的内阻大于r3、r4、R,故毫伏表和安培表的示数能准确地反映电阻R 上的电位差和通过的电流。利用欧姆定律可以算出R 的正确值。

由此可见,测量电阻时,将通电流的接头(电流接头)a、d 和测量电位差的接头(电压接头)b、c 分开,并且把电压接头放在里面,可以避免接触电阻和接线电阻对测量低值电阻的影响。 这结论用到惠斯通电桥的情况如果仍用单臂电桥测低值电阻R X,则比较臂R b 也应是低值电阻,这样才能在支路电流增大时,从而使R X 的电位差可以跟R1 上的电位差相等。设R1 和R2 都是10Ω以上的电阻,则与之有关的接触电阻和接线电阻的影响可以忽略不计。消除影响的只是跟R X、R b 有关的接触电阻和接线电阻。我们可以这样设想,如图3 所示。应用上面的结论在R X 的A 点处分别接电流接头A1 和电压接头A2;在R b 的D 点处分别接电流接头D1 和电压接头D2。则A 点对R X 和D 点对R b 的影响都已消除。关于C 点邻近的接线电阻和接触电阻同R1、R2、R g 相比可以略去不计。但B1、B3 的接触电阻和其间的接线电阻对R X、R b 的影响还无法消除。为了消除这些电阻的影响,我们把检流计同低值电阻的接头也接成电压接头B2、B4。为了使B2、B4 的接触电阻等不受影响,也象R1、R2 支路一样,分别接上电阻R3、R4 譬如10Ω,则这两支路的接触电阻等同R3、R4 相比较可略去。这样就在单电桥基础上增加两个电阻R3、R4,从而构成一个双臂电桥。但是B1、B3 的接触电阻和B1、B3 间的接线电阻无处归并,仍有可能影响测量结果。下面我们来证明,在一定条件下,r 的存在并不影响测量结果。

惠斯通电桥实验报告南昌大学

南昌大学物理实验报告 课程名称:_____________ 大学物理实验 实验名称:_______________ 惠斯通电桥 学院:___________ 专业班级: 学生姓名:_________ 学号: 实验地点:___________ 座位号: 实验时间:第11周星期4上午10点开始

、实验目的: 1. 掌握电桥测电阻的原理和方法 2. 了解减小测电阻误差的一般方法 、实验原理: (1) 惠斯通电桥原理 惠斯通电桥就是一种直流单臂电桥,适用于测中值电阻,其原理电路如图 7-4所示。若调节电阻到合适阻值时, 可使检流计 G 中无电流流过,即 B 、D 两点的电位相等,这时称为“电桥平衡”。电桥平衡,检流计中无电流通过, 相当于无BD 这一支路,故电源 E 与电阻R ,、R x 可看成一分压电路;电源和电阻 R 1 上面两式可得 R 2 桥达到平衡。故常将 R 、R 2所在桥臂叫做比例 臂,与R x 、R S 相应的桥臂分别叫做测量臂和比 较臂。 V B C 点为参考,贝y D 点的电位V D 与B 点的电位V B 分别为 R 2 R S R S V D R X 因电桥平V B V D 故解 R 2、R S 可看成另一分压电路。若以 R x 为 E 待测电阻,则有 R>< R X R S 上式叫做电桥的平衡条件,它说明电桥平衡时,四个臂的阻值间成比例关系。如果 1 10,10 1等)并固定不变,然后调节 金使电

(2)电桥的灵敏度

n R S R S 灵敏度S 越大,对电桥平衡的判断就越容易,测量结果也越准确。 此时R s 变为R s ,则有:R x R2 R s ,由上两式得R x . R s R s 三、 实验仪器: 线式电桥板、电阻箱、滑线变阻器、检流计、箱式惠斯通电桥、待测电阻、低压直流电源 四、 实验内容和步骤: 1. 将箱式电桥打开平放,调节检流计指零 2. 根据待测电阻(线式电桥测量值或标称值)的大小和 R 3值取满四位有效数字原则,确定比例臂的取值,例如 R 为数千欧的电阻,为保证 4位有效数字,K r 取 3. 调节F 3的值与R <的估计 S _____ S 的表达式 R S R S S-i S 2 _____________________ ES R i R 2 R s R x 1 R E % R i R 2R X Rg 2 R x R s R 2 R - R E 2 R R s R x (3) 电桥的测量误差 电桥的测量误差其来源主要有两方面,一是标准量具引入的误差, 二是电桥灵敏度引入的误差。为减少误差传递, 可采用交换法。 交换法:在测定R x 之后,保持比例臂 R -、R 2不变,将比较臂 R s 与测量臂R x 的位置对换,再调节 R s 使电桥平衡,设 电桥的灵敏程度定义: R i

南昌大学化学实验报告

南昌大学化学实验报告 篇一:南昌大学实验报告 南昌大学实验报告 学号:6100512094 专业班级:信息管理与信息系统122班 实验类型:□验证□综合□设计□创新实验日期:XX/4/3 实验成绩: 实验一实验环境的建立 一、实验目的: 1.了解SQL Server XX常用版本和对操作系统的不同要求 2.熟悉SQL Server XX的基本性能 3.正确安装和配置SQL Server XX 二、实验基本原理 SQL即结构化查询语言,是关系数据库的标准语言,SQL 是一个综合的、功能极强同时又简洁易学的语言。它集数据查询、数据操纵、数据定义和数据控制功能于一体。自SQL 成为国际标准语言之后,各个数据库厂家纷纷推出各自的SQL软件或与SQL的接口软件。这就使大多数 数据库均用SQL作为共同的数据存取语言和标准接口,使不同数据库系统之间的互操作有了共同的基础。

三、主要仪器设备及耗材 相互连成LAN的计算机2台以上,windows XX server 操作系统,SQL Server XX安装标准版安装软件。 四、实验步骤 安SQL Server XX:将安装光盘放入CD-ROM,将自动弹出“SQL Server自动菜单”界面,如果没有自动弹出则选择光盘根目录下的autorun.exe,双击运行: 选择运行“安装SQL Server XX组件”进入安装组件界面,选择“安装数据库服务器”。进入安装界面后,按照安装提示进行安装;一般需要人工进行干预的有: 选择安装类型和安装路径:安装类型有:典型安装、最小安装、和自定义安装。安装路径是指SQL Server的系统文件和数据文件的安装位置。默认情况下“安装类型”是典型安装,“安装路径”是操作系统设定的“Program Files”文件夹。你可以自行改变,初次安装最好不要改变他,按默认情况使用; 配置启动服务的帐号:有两类用户帐号:一类是与Windows操作系统的集成帐号,一类是混合帐号。选择第一类帐号进行安装;配置服务器端网络库:SQL Server支持多种网络库,这些网络库必须与操作系统的网络协议共同工作,才能实现客户机与数据库服务器的通信。安装完成后,可以通过操作系统的开始菜单操作:“开始”―>SQL Server”->

EDA实验报告

目录 第一部分实验开发系统概述及使用说明.............................................................. 1第一章EDA实验系统 ....................................................................................... 1第二章EDA/SOPC实验系统 ............................................................................ 7第二部分实验部分............................................................................................ 10实验一EDA工具基本操作与应用 ........................................................... 10实验二计数器设计..................................................................................... 24实验三串入/并出移位寄存器实现 ........................................................... 26实验四四人抢答器设计............................................................................. 28实验五序列检测器设计............................................................................. 29实验六DDS信号源的设计........................................................................ 30实验七交通灯控制器设计......................................................................... 31实验八数字钟设计..................................................................................... 32实验九出租车计费器设计......................................................................... 33实验十频率计的实现................................................................................. 34附录——FPGA接口对照表 ............................................................................ 35

南昌大学嵌入式ADC实验

基础实验二ADC 一、实验目的 掌握 S3C2410A 的模/数(A/D)转换器的应用设置,进行电压信号的测量。 二、实验设备 硬件: PC 机一台 MagicARM2410 教学实验开发平台一套 软件:Windows98/XP/2000 系统,ADS 1.2 集成开发环境 超级终端程序(Windows 系统自带) 三、实验内容 使用 AIN0 和 AIN1 测量两路直流电压,并将测量结果通过 UART0 向 PC 机发送。 四、实验原理 S3C2410A 具有 1 个 8 通道的 10 位模数转换器(ADC),有采样保持功能,输入电压范围0~3.3V,在 2.5MHz 的转换器时钟下,最大的转换速率可达 500KSPS。A/D 转换器的AIN5、AIN7 还可以与控制脚 nYPON、YMON、nXPON 和XMON 配合,实现触摸屏输入功能。 为了正确使用 A/D 转换器,需要设置 A/D 转换器的时钟,还有 A/D 转换器的工作模式设置和输入通道选择,这都是通过 ADCCON 寄存器来设置的。然后置位 ADCCON 寄存器的 ENABLE_START 位来控制启动 A/D 转换,读 ADCCON 寄存器的 ECFLG 位来判断 A/D转换是否已经结束。当一次 A/D 转换结束后,通过读 ADCDAT0 寄存器来取得 A/D 转换结果,寄存器的低 10 位数据有效。 五、实验步骤 (1)启动 ADS 1.2,使用 ARM Executable Image for DeviceARM2410 工程模板建立一个工程 ADC01。 (2)在 src 组中的 main.c 中编写主程序代码。 (3)选用 DebugRel 生成目标,然后编译链接工程。 (4)将 MagicARM2410 实验箱上的 UART0 连接跳线 JP1 短接,使用串口延长线把MagicARM2410 实验箱的 CZ11 与 PC 机的 COM1连接。 (5)PC 机上运行“超级终端”程序(在 Windows 操作系统的【开始】->【程序】->【附件】->【通讯】->【超级终端】),新建一个连接,设置串口波持率为 115200,接着呼叫连接(“超级终端”主窗口的【呼叫】->【呼叫】)。 (6)选择【Project】->【Debug】,启动 AXD 进行 JTAG 仿真调试。

南昌大学DSP实验报告

实验报告 实验课程:DSP原理及应用 学生姓名: 学号: 专业班级: 2012年 5月 25日

目录 实验一定点除法运算 实验二FIR滤波器 实验三FFT算法 实验四卷积计算 实验五数码管显示 实验六语音录放

实验一定点除法运算 一、实验目的 1、熟悉C54指令系统,掌握常用汇编指令,学会设计程序和算法的技巧。 2、学习用指令实现除法运算。 二、实验设备 计算机;DSP 硬件仿真器;DSP 实验开发平台。 三、实验原理 由内置的硬件模块支持,数字信号处理器可以高速的完成加法和乘法运算。但TMS320 系列DSP不提供除法指令,为实现除法运算,需要编写除法子程序来实现。二进制除法是乘法的逆运算。乘法包括一系列的移位和加法,而除法可分解为一系列的减法和移位。本实验要求编写一个16 位的定点除法子程序。 1.除法运算的过程设累加器为8 位,且除法运算为10 除以3,除的过程包括与除数有关的除数逐步移位,然后进行减法运算,若所得商为正,则在商中置1,否则该位商为0 例如:4 位除法示例:(1)数的最低有效位对齐被除数的最高有效位00001010 - 00011000 11110010 (2)由于减法结果为负,丢弃减法结果,将被除数左移一位再减00010100 - 00011000 11111000 (3)结果仍为负,丢弃减法结果,将被除数左移一位再减00101000 - 00011000 00010000 (4)结果为正,将减法结果左移一位后把商置1,做最后一次减00100001 - 00011000 00001001 (5)结果为正,将减法结果左移一位加1 得最后结果,高4 位是余数,低4 位商:00010011 2.除法运算的实现为了尽量提高除法运算的效率,’C54x 系列提供了条件减指令SUBC 来完成除法操作。 四、实验步骤 1.用Simulator 方式启动Code Composer。 2 .执行Project New 建立新的项目,输入chuf作为项目的名称,将程序定位在D:\ti\myprojects\chuf目录。 3.执行File New Source File 建立新的程序文件,为创建新的程序文件命名为chuf.asm 并保存;执行Project Add Files to Project,把chuf.asm 加入项目中。4.执行File New Source File 建立新的文件并保存为chuf.cmd;执行Project Add Files to Project,把chuf.cmd 加入项目中。 5.编辑chuf.asm 加入如下内容: ;*** 编制计算除法运算的程序段。其中|被除数|<|除数|,商为小数*** .title "chuf.asm" .mmregs .def start,_c_int00

EDA实验报告

EDA 实验报告 实验一:组合电路的设计 实验内容是对2选1多路选择器VHDL 设计,它的程序如下: ENTITY mux21a IS PORT ( a, b : IN BIT; s : IN BIT; y : OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS SIGNAL d,e : BIT; BEGIN d <= a AND (NOT S) ; e <= b AND s ; y <= d OR e ; END ARCHITECTURE one ; Mux21a 仿真波形图 以上便是2选1多路选择器的VHDL 完整描述,即可以看成一个元件mux21a 。mux21a 实体是描述对应的逻辑图或者器件图,图中a 和b 分别是两个数据输入端的端口名,s 为通道选择控制信号输入端的端口名,y 为输出端的端口名。Mux21a 结构体可以看成是元件的内部电路图。最后是对仿真得出的mux21a 仿真波形图。 Mux21a 实体 Mux21a 结构体

实验二:时序电路的设计 实验内容D 触发器的VHDL 语言描述,它的程序如下: LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY DFF1 IS PORT (CLK : IN STD_LOGIC ; D : IN STD_LOGIC ; Q : OUT STD_LOGIC ); END ; ARCHITECTURE bhv OF DFF1 IS BEGIN PROCESS (CLK) BEGIN IF CLK'EVENT AND CLK = '1' THEN Q <= D ; END IF; END PROCESS ; END bhv; D 触发器的仿真波形图 最简单并最具代表性的时序电路是D 触发器,它是现代可编程ASIC 设计中最基本的时序元件和底层元件。D 触发器的描述包含了VHDL 对时序电路的最基本和典型的表达方式,同时也包含了VHDL 中许多最具特色的语言现象。D 触发器元件如上图所示,其在max+plus2的仿真得出上面的波形 D 触发器

实验四序列发生器

南昌大学实验报告学生姓名:学号:专业班级:中兴101班 实验类型:□验证□综合■设计□创新实验日期:2012、11、16成绩: 实验四序列信号发生器与检测器设计 一、实验目的 1、学习VHDL文本输入法 2、学习有限状态机的设计 3、利用状态机实现串行序列的输出与序列的检测 4、继续学习优化设计 二.实验内容与要求 1. 设计序列发生器,完成序列为0111010011011010的序列生成器 2.用有限状态机设计序列检测器,实现串行序列11010的检测器 3. 若检测到符合要求的序列,则输出显示位为“1”,否则为“0” 4. 对检测到的次数计数 5.整个工程采用顶层文件+底层模块的原理图或文本的设计思路 三、实验仪器 PC机、Quartus II软件、EDA实验箱 四、实验思路 1.设计序列发生器 基本思想为一个信号CQ1计数,给另一个信号CO(代表序列的每一位)赋值的方法: 先设定端口CQ1用于产生序列时计数,因为序列共16位,因此端口CQ1为标准逻辑矢量,位宽为4,设另一个端口M代表序列的每一位,CQ1每计一个数,就给M赋一个值,这样产生一个16位的序列。由于端口不能参与相关运算,因此在结构体中我分别定义了信号CQ1(标准逻辑矢量,位宽4),信号Q与相应的端口CQ1 CO对应,在进程中参与相应的运算,在程序的最后再用端口接收信号: CO<=Q; 在进程中我采用case –when 语句,如当CQ1为“0000”的时候,给另一信号Q赋‘0’,当CQ1为“0001” 2.序列检测器 序列检测器设计的关键在于正确码的收到必须是连续的,这就要求检测器必须记住前一次的正确码及序列,直到在连续的检测中收到的每一位码都与实验要求相同。在此,必须利用状态转移图。 电路需要分别不间断记忆:初始状态、1、11、110、1101、11010共六种状态,状态转移如图:

南昌大学《MATLAB与控制系统仿真》实验报告综述

实验报告 实验课程: MATLAB与控制系统仿真 姓名: 学号: 专业班级: 2016年 6月

目录实验一 MATLAB的环境与基本运算(一) 实验二 MATLAB的环境与基本运算(二) 实验三 MATLAB语言的程序设计 实验四 MATLAB的图形绘制 实验五基于SIMULINK的系统仿真 实验六控制系统的频域与时域分析 实验七控制系统PID校正器设计法 实验八线性方程组求解及函数求极值

实验一 MATLAB的环境与基本运算(一) 一、实验目的 1.熟悉MATLAB开发环境 2.掌握矩阵、变量、表达式的各种基本运算 二、实验基本原理 1.熟悉MATLAB环境: MATLAB桌面和命令窗口、命令历史窗口、帮助信息浏览器、工作空间浏览器、文件和搜索路径浏览器。 2.掌握MA TLAB常用命令 表1 MA TLAB常用命令 3.MATLAB变量与运算符 3.1变量命名规则 3.2 MATLAB的各种常用运算符 表2 MA TLAB算术运算符 表4 MATLAB逻辑运算符

表5 MATLAB特殊运算 4.MATLAB的一维、二维数组的寻访 表6 子数组访问与赋值常用的相关指令格式 三、主要仪器设备及耗材 计算机 四、实验内容 1.新建一个文件夹(自己的名字命名,在机器的最后一个盘符) 2.启动MATLAB,将该文件夹添加到MATLAB路径管理器中。 3.学习使用help命令。 4.窗口命令 ● close ● close all ● clc ● hold on ● hold off 了解其功能和作用,观察command window、command history和workspace等窗口的变化结果。5.工作空间管理命令 ● who ● whos ● clear 6.随机生成一个2×6的矩阵,写出实现矩阵左旋(以第1行第1列为中心逆时针)90°或右旋(顺

基于VHDL语言的EDA实验报告(附源码)

EDA 实验报告 ——多功能电子钟 姓名:张红义 班级:10级电科五班 学号:1008101143 指导老师:贾树恒

电子钟包括:主控模块,计时模块,闹钟模块,辅控模块,显示模块,蜂鸣器模块,分频器模块。 1.主控模块: 主要功能:控制整个系统,输出现在的状态,以及按键信息。 源代码: libraryieee; use ieee.std_logic_1164.all; useieee.std_logic_arith.all; useieee.std_logic_unsigned.all; entity mc is port(functionswitch,k,set,lightkey: in std_logic; chose21,setout: out std_logic; lightswitch:bufferstd_logic; modeout,kmodeout : out std_logic_vector(1 downto 0); setcs,setcm,setch,setas,setam,setah:outstd_logic); end mc; architecture work of mc is signalmode,kmode:std_logic_vector(1 downto 0); signal light,chose21buf:std_logic; signalsetcount:std_logic_vector(5 downto 0); begin process(functionswitch,k,set,lightkey) begin iffunctionswitch'event and functionswitch='1' then mode<=mode+'1'; end if; iflightkey'event and lightkey='1' then lightswitch<=not lightswitch; end if; if mode="01" thenchose21buf<='0'; else chose21buf<='1'; end if; ifk'event and k='1' then if mode="01" or mode="11" then kmode<=kmode+'1'; end if;end if; if set='1' then if mode = "01" then ifkmode="01" then setcount<="000001"; elsifkmode="10" thensetcount<="000010"; elsifkmode="11" then setcount<="000100";

南昌大学自动装置实验报告

实验报告 实验课程:自动装置原理 学生姓名: 学号: 专业班级:电力系统及其自动化班

目录实验一:无功调差及自动检测实验 实验二:综合放大及调节特性实验

实验一:无功调差及自动检测实验一、实验目的 1.深入理解调差原理,掌握改变发电机电压调节特性斜率的方法。2.深入了解测量和比较整定电路的结构形式和工作原理。 3.掌握自动检测各个环节的工作特性及其调试方法。 二、实验设备 三、实验内容与步骤 1.无功调差和自动检测实验接线 见图1-9,将三相调压器输出调至 零输出位置,电源开关处于断开 状态,按图接线,接线完毕后要 自行检查接线正确性,然后,请 指导老师检查,确定无误后,接 入交流电源(注意:在整个实验 过程中,由三相调压器输入实验 电路测量变压器1-3T一次侧的电 压不得大于120V“线电压”,并且 U AB=U BC=U CA)。 2.将调差整定开关置于“0”档。 “调试”“运行”插头插入“运行” 位置。“远”“近”控开关置于“近” 控位置。 3.将输入电压调至 U AB=U BC=U CA =105V,按表1-1 要求进行检测: ①检测测量变压器的变比(测 出二次侧线电压进行计算)。 ②检测三相桥式整流器的输出 电压 ③检测二个比较桥上四个稳压 管反向击穿后的稳压值。把各项 测试数据记录在表2-1中。 4.用示波器观察测试整流输出 直流电压叠加的交流纹波。

5.比较桥检测特性实验 实验接线见图1-9,当电压整定电位器RP分别置于“0圈”“5圈”“10圈”位置时,在测量变压器一次侧加入三相交流电压U f,按表1-2改变交流电压输入值,用高内阻电压表测出U f从小到大调节变化过程中各对应点的U CB、U DB、U CD(即△U)及U EB、U FB,记录在表1-2中。 6.根据表1-2中测得的数据绘制检测桥的特性曲线。 四、实验记录表 表1-1 测量变压器变比、整流及稳压管稳压值测试记录表(见下表)。调差电阻“0 AB BC CA 表1-2 比较桥检测特性实验记录表 整定电位器位置不同时,测试交流电压U f与测量桥的输出关系,测量桥输出一点为RP滑动端(C),另一点为4VW c和3R c的连接点(D),即为比较桥输出电压U CD(△U),及U CB、U DB、U EB、U FB各点电位见图1-9。

EDA交通灯实验报告

实验:交通灯设计 一、设计任务及要求: 设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间。 主干道 图1 路口交通管理示意图 设计要求: (1)采用VHDL语言编写程序,并在QuartusII工具平台中进行仿真,下载到EDA实验箱进行验证。 (2)编写设计报告,要求包括方案选择、程序清单、调试过程及测试结果。 二、设计原理 1、设计目的: 学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制 2、设计说明

(1)第一模块:clk时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。 因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。 模块说明: 系统输入信号: Clk: 由外接信号发生器提供256的时钟信号; 系统输出信号: full:产生每秒一个脉冲的信号; (2)第二模块:计数秒数选择电路 计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。 模块说明: 系统输入:full: 接收由clk电路的提供的1HZ的时钟脉冲信号; 系统输出信号:tm:产生显示电路状态转换信号 tl:倒计数值秒数个位变化控制信号 th:倒计数值秒数十位变化控制信号 (3)第三模块:红绿灯状态转换电路 本电路负责红绿灯的转换。 模块说明: 系统输入信号:full: 接收由clk电路的提供的1hz的时钟脉冲信号; tm: 接收计数秒数选择电路状态转换信号; 系统输出信号:comb_out: 负责红绿灯的状态显示。 (4)第四模块:时间显示电路 本电路负责红绿灯的计数时间的显示。 模块说明: 系统输入信号:tl:倒计数值秒数个位变化控制信号; th:倒计数值秒数十位变化控制信号; 系统输出信号:led7s1: 负责红绿灯的显示秒数个位。 led7s2:负责红绿灯的显示秒数十位。 三、设计方案

实验一 一位二进制全加器设计实验

南昌大学实验报告 学生姓名: 学 号: 专业班级: 中兴101 实验类型:■ 验证 □ 综合 □设计 □ 创新 实验日期: 2012 9 28 实验成绩: 实验一 一位二进制全加器设计实验 一.实验目的 (1)掌握Quartus II 的VHDL 文本设计和原理图输入方法设计全过程; (2)熟悉简单组合电路的设计,掌握系统仿真,学会分析硬件测试结果; (3) 熟悉设备和软件,掌握实验操作。 二.实验内容与要求 (1)在利用VHDL 编辑程序实现半加器和或门,再利用原理图连接半加器和或门完成全加器的设计,熟悉层次设计概念; (2)给出此项设计的仿真波形; (3)参照实验板1K100的引脚号,选定和锁定引脚,编程下载,进行硬件测试。 三.设计思路 一个1位全加器可以用两个1位半加器及一个或门连接而成。而一个1位半加器可由基本门电路组成。 (1) 半加器设计原理 能对两个1位二进制数进行相加而求得和及进位的逻辑电路称为半加器。或:只考虑两个一位二进制数的相加,而不考虑来自低位进位数的运算电路,称为半加器。图1为半加器原理图。其中:a 、b 分别为被加数与加数,作为电路的输入端;so 为两数相加产生的本位和,它和两数相加产生的向高位的进位co 一起作为电路的输出。 半加器的真值表为 表1 半加器真值表 由真值表可分别写出和数so ,进位数co 的逻辑函数表达式为: b a b a b a so ⊕=+=- - (1) ab co = (2) 图1半加器原理图 (2) 全加器设计原理 除本位两个数相加外,还要加上从低位来的进位数,称为全加器。图2全加器原理图。全加器的真值表如下:

EDA实验报告

电子科技大学成都学院 实验报告册 课程名称:EDA实验与实践 姓名:魏亮 学号:2940710618 院系:微电子技术系 专业:集成电路设计与集成系统(嵌入式) 教师:李海 2011 年12 月12 日

实验一:计数器 一、实验目的: 学习计数器的设计,仿真和硬件测试; 进一步熟悉Verilog HDL的编程方法。 二、实验原理和内容: 本实验的原理是利用复位信号rst,时钟信号clk,输出cout ,实现由0自加到学号(即18)。 本实验的内容是利用Quartus Ⅱ建立一个自加至18的计数器,并进行仿真测试。 三、实验步骤: 1. 启动Quartus Ⅱ建立一个空白工程,然后命名为count . qpf 。 2. 新建Verilog HDL源程序文件count.v,输入程序代码并保存, 然后进行综合编译,若在编译过程中发现错误,则找出并更正错误, 直到编译成功为止。 3. 建立波形仿真文件并进行仿真验证。 四、实验数据和结果: module count (clk,rst,cout); input clk,rst; output[5:0] cout; reg[5:0] cout; always @ (posedge clk) begin if(rst) begin cout=cout+1; if(cout==5'b10011) cout=0; end end endmodule

五、实验总结: 进一步熟悉仿真测试和Verilog HDL 编程方法。

实验二:流水灯 一、实验目的: 通过次试验进一步了解、熟悉和掌握CPLD/FPGA开发软件的使用方法及Verilog HDL的编程方法;学习简单的时序电路的设计和硬件 测试。 二、实验原理和内容: 本实验的内容是建立可用于控制LED流水灯的简单硬件电路,要求在实验箱上时间LED1~LED8发光二极管流水灯显示。 原理:在LED1~LED8引脚上周期性的输出流水数据,如原来输出的数据是11111100则表示点亮LED1、LED2。流水一次后,输出数据应 该为11111000,而此时则应点亮LED1~LED3三个LED发光二极管,这 样就可以实现LED流水灯,为了方便观察,在源程序中加入了一个分频 程序来控制流水速率。 三、实验步骤: (1)启动QuartusII建立空白工程,然后命名为led.qpf。 (2)新建Verilog HDL源程序文件led.v,输入程序代码并保存(源程序参考实验内容),进行综合编译,若在编译过程中发现错误,则找出并更正错误,直至编译成功为止。 (3)FPGA引脚分配,在Quartus II主界面下,选择Assignments→Pins,按照实验课本附录进行相应的引脚分配,引脚分配好以后保存。 (4)对该工程文件进行最后的编译,若在编译过程中发现错误,则找出并更正错误,直至编译成功为止。 (5)打开试验箱的电源开关,执行下载命令,把程序下载到FPGA试验箱中,观察流水灯的变化。 四、实验数据和结果: module led(led,clk); input clk; output[7:0] led; reg[7:0] led_r; reg[31:0] count; assign led=led_r[7:0]; always @ (posedge clk) begin count<=count+1';

南昌大学PLC实验报告

实验一三相异步电动机接触器点动控制线路 (2) 实验二三相异步电动机的可逆运转控制 (4) 实验三通电延时型控制线路 (6) 实验四可编程控制器的基本指令编程练习 (8) 实验五喷泉的模拟控制 (10) 实验六交通灯的模拟控制 (13) 实验七液体混合的模拟控制 (16)

实验一 三相异步电动机接触器点动控制线路 一、概述 三相笼式异步电机由于结构简单、性价比高、维修方便等优点获得了广泛的应用。在工农业生产中,经常采用继电器接触控制系统对中小功率笼式异步电机进行点动控制,其控制线路大部分由继电器、接触器、按钮等有触头电器组成。 图2是三相鼠笼异步电动机接触器点动控制线路(电机为Y 接法) 起动时,合上漏电保护断路器及空气开关QF ,引入三相电源。按下起动按钮SB2时,交流接触器KM1的线圈通电,主触头KM1闭合,电动机接通电源起动。当手松开按钮时,接触器KM1断电释放,主触头KM1断开,电动机电源被切断而停止运转。 FR1 FU1KM1 QF L1 L2 L3 L KM1 M 3~ FR1 N FU2 FU2 L SB1 SB2 二、实验目的 1、 了解时间继电器的结构,掌握其工作原理及使用方法。 2、 掌握Y-Δ起动的工作原理。 3、 熟悉实验线路的故障分析及排除故障的方法。 三、实验设备 序号 设备名称 使用仪器名称 数量 1 DL-CX-001 三相交流电源 1 2 WD01G 空开、熔断器模块 1 3 WD04G 热继电器模块 1 4 WD09G 按钮模块 1 5 WD02G 接触器模块 1 6 M04 三相鼠笼式异步电动机 1 四、实验内容及步骤 1、检查各实验设备外观及质量是否良好。 2、按图2三相鼠笼式异步电动机接触器点动控制线路进行正确的接线。先接主回路,再接控制回路。自己检查无误并经指导老师检认可后方可合闸通电实验。 (1)、热继电器值调到1.0A 。 (2)、合上漏电保护断路器及空气开关QF ,调节三相电源输出220V 。 (3)、按下起动按钮SB2时,观察电机工作情况,体会点动操作。(注意,操作次数不宜频

南昌大学实验报告

南昌大学实验报告 学生姓名:学号:专业班级:_____________ 实验类型:■验证□综合□设计□创新实验日期: 2012-12 实验成绩:______ 实验三三容水箱的专家控制实验 一、实验目的 ①掌握三容水箱的基本构成,能够熟练地运用专家PID控制原理,来实现 对三容水箱的液位控制。 ②进一步掌握专家控制原理,清楚了解PID控制的过程和相应的matlab程 序实现方法。 ③了解matlab中关于的相关绘图函数的使用方法,懂得有关向量的定义及 循环操作,熟练掌握MATLAB的编程语句。 二、实验设备及条件 微型计算机,且此计算机必须装有matlab软件。 三、实验原理 专家系统是一类包含知识和推理的智能计算机程序,其内部包含某领域专 家水平的知识和经验,具有解决专门问题的能力。 直接型专家控制器用于取代常规控制器,直接控制生产过程或被控对象。 具有模拟(或延伸、拓展)操作工人智能的功能。该控制器的任务和功能相对 比较简单,但需要在线、实时控制。因此,其知识表达和知识库也比较简单, 通常由几十条产生式规则构成,以便于增删和修改。直接型专家控制器的结构 如a图中的虚线所示。 专家PID控制的实质是:基于受控对象和控制规律的各种知识,无需知道 被控对象的精确模型,利用专家经验来设计PID参数。专家PID控制是一种直 接型专家控制器。 本实验的专家控制器输入为h3的设定值,而输出为阀门开度kk,根据实 验的要求设计专家PID控制器的基本原理框图如下图所示Array 图(a)

三容水箱结构框图如下 四、实验要求 通过专家PID 控制,随着下水箱流量q4的正弦变化,要求能够通过调节阀门开度kk 来使第三个水箱中的下水箱液位h3稳定在设定值,并且其他两水箱水不会流尽和溢出。 五、 实验设计过程及结果 1、 三容水箱数学模型 可应用实验一建立的三容水箱数学模型 3 33433| 0.45)+i *pi *(2.58sin |*343222 321112kk *1q 21h h h s q q h y q s q q h h y q s q q h h y q a ?+=-=?=-=?=-=?==

相关文档
最新文档