心率检测器课程设计

心率检测器课程设计
心率检测器课程设计

武汉纺织大学

课程设计任务书

课题名称:心跳速率检测器

完成期限:2014年05月28日至 2014年06月12日

院系名称机械院指导教师周国鹏专业班级测控1102 指导教师职称

学生姓名景小飞

院系课程设计(论文)工作领导小组组长签字

目录

摘要 (2)

第一章方案论证 (3)

1.1定时间测次数实现法 (3)

第一章心率检测单元电路设计 (3)

2.1传感器 (3)

2.2放大整形电路设计 (4)

2.3时基信号发生器设计 (4)

2.4计数译码显示电路电路设计 (7)

第三章总电路及原理分析 (12)

3.1 数字心率计总电路及其原理说明 (12)

3.1.1数字心率计电路工作原理 (12)

3.2元器件的参数设定 (12)

3. 3电路仿真 (13)

3.3.1仿真软件介绍 (13)

3.3.2电路仿真 (13)

设计心得体会 (15)

附录:参考文献 (16)

摘要

脉搏是常见的生理现象,蕴含着丰富的人体生理病理信息。脉搏波所呈现出来的形态、强度、速率和节律等方面的综合信息,能反映出人体心血管系统中的许多生理病的血流特征。数字电子技术作为一门应用很广泛的科学技术,发展极其迅速。要想学好这门技术,首先是基础理论的系统学习,然后要技术训练,进而培养我们对理论联系实际的能力,设计电路的能力,实际操作的能力,以及培养正确处理数据、分析和综合实验结果、检查和排除故障的能力。课程设计作为对本课程的学习情况的检验,同时课程设计也对本课程知识的综合运用。同时也加深我们对电子产品的理解。

这次我们课程设计的题目是制作一个心跳速率检测器,二脉搏往往与心跳速率息息相关。根据人体脉搏信号特征,本文介绍了一种的由取样电路、放大整形电路、计数显示电路、电源电路四部分组成的新型心率计的设计方法。采用高集成度、高性能、低功耗、高频高速的集成芯片实现计数译码模块。具有时基信号频率稳定,设置合理,计数器清零及时,瞬时心率周期内准确计数等优点。测量范围为0~199 次/min,三位数字显示测量值。

关键词:脉搏,心跳速率,电路,设计

第一章 方案论证

从医学常识我们可知心率略等于脉搏次数,所以本次课程设计我们将脉搏做为心率的采样处。以脉搏次数作为心率。在这里我使用定时计数法来测量心跳速率。

(1) 传感器:将脉搏转换成相应的电脉冲信号 (2) 放大电路:对微小电脉冲信号进行放大;

(3) 时基信号发生电路:产生固定时间(1分钟活半分钟)的控制信号,作为计数器的门控,使计数器只有在此期间才进行计数;

(4) 计数、译码、显示电路:在门控信号作用期间,对电脉冲信号进行计数,并显示译码器译码,再由数码管显示数值;

(5) 电源电路:按电路要求提供符合要求的直流电源。

第二章 心率检测器单元电路设计

2.1 取样传感器

为了把脉搏转换成电信号,应采用压电式传感器。取样电路采用抗腐蚀的陶瓷压力传感器CPS182。抗腐蚀的陶瓷压力传感器没有液体的传递,压力直接作用在陶瓷膜片的前表面,使膜片产生微小的形变,厚膜电阻印刷在陶瓷膜片的背面,连接成一个惠通斯电桥。由于压敏电阻的压阻效应,使电桥产生一个与压力成正比、与激励电压成正比的高度线性度电压信号。通过激光标定,该传感器具有很高的温度稳定性和时间稳定性。

传感

放大与整形

计数器

译码器

显示器

时基信号发生器

2.2 放大整形电路

放大整形电路如下图2-2-1所示,由晶体管9013与74LS00等组成,其中晶体管9013组成放大器将压电陶瓷传感器输出的信号进行放大。与非门构成的施密特触发器,它对放大器的输出信号进行整形,使之成为中规中矩的矩形波。

图2-2-1 放大整形电路

2. 3 时基信号发生器设计

时基电路是为了产生一个方波定时脉冲,用来控制计数器CD4553的计数允许

INT端,以便使计数器在定时脉冲宽度所固定的时间内进行对脉搏电脉冲计数,

固定时间为四分之一分钟。在此我们采用的是555的单稳态触发器加外围电路构

成的时基信号发生器。

对于555定时器芯片,它由TTL集成定时电路和CMOS集成定时电路,这二者

功能完全相同,不同之处是:TTL集成定时电路的驱动能力比CMOS集成定时电路

大。555定时电路是由三个5千欧电阻组成分压器、两个高精度电压比较器、一

个基本R-S触发器、一个作为放电通路的管子及输出驱动电路组成。它的逻辑电

路图为:如图2-3-1所示。

图2-3-1 555定时器逻辑电路图及555定时器逻辑符号

功能描述:功能表如表2-3-1表所示。当输入端R为低电平时,不管别的输

入端为何种情况,输出为低电平,CMOS管工作。当引脚6的输入电平大于2/3U

DD

并且引脚2的输入电平大于1/3U

DD

,输出为低电平,CMOS管工作当引脚6的电平小

于2/3U

DD 并且引脚2的输入电平大于1/3U

DD,

输出为原状态.当引脚2的电平小于

1/3U

DD,

电路输出为高电平,NMOS管关断.

表2-3-1 555功能表

555定时器构成单稳态触发器具有下列特点:第一,它有一个稳定状态和一个暂稳状态;第二,在外来触发脉冲作用下,能够由稳定状态翻转到暂稳状态;第三,暂稳状态维持一段时间后,将自动返回到稳定状态。暂稳态时间的长短,

与触发脉冲无关,仅决定于电路本身的参数。单稳态触发器在数字系统和装置中,一般用于定时(产生一定宽度的脉冲)、整形(把不规则的波形转换成等宽、等幅的脉冲)以及延时(将输入信号延迟一定的时间之后输出)等。

在没有加入触发脉冲前,电路处于稳态,输出端(555定时器引脚3)为低电平,U0=0.当输入端(5555定时器引脚7)的触发脉冲下降沿到达后,电路进入暂态,输出端为高电平,U0=1。而后电源电压VCC通过电阻R开始向电容C

充电,当充电到U0=2/3VCC时,电路又还回到稳态,输出端重新回到低电平,U0=0,这个稳态一直维持到下一个触发脉冲下降沿到达时为止。暂稳态持续时间(输出脉冲宽度Tw)只取决于外接电阻R和电容C的大小,Tw=0.1RC。单稳态电路的工作波形如下图2-3-2所示

图2-3-2 单稳态电路的工作波形

根据原理,时基信号发生电路图如图2-3-3所示

图2-3-3 时基信号发生电路图

此电路的核心是555定时器,其本质也就是一个单稳态触发器,按键接地并与电阻R4串联接高电平VCC,当没按下键时2脚输入为高电平,555定时器内部晶体管饱和导通,电容C2短路,7脚一直为低电平,则3脚输出为低电平经过非门输出高电平,当按下键时,2脚变为低电平,555定时器内部晶体管截止,经过电位器RV1对电容C2充电,当C2两端电压尚未达到VCC 2/3VCC时,3脚输出为高电平经过非门输出低电平,当C2两端电压达到2/3VCC时,3脚输出为低电平经过非门输出恢复高电平,输出低电平持续的时间由电位器RV1和电容C2来确定,计算公式为:t=1.1RC,其中电容C1为小电容,作用是为了滤除高频干扰,一般取100Pf。

2. 4计数译码显示电路电路设计

本次课程设计为了电路的简单,我们采用CD4553作为计数器。对于这块芯片有两个与一般的芯片的不同之处:

(1) 有多功能:锁存控制、计数允许、计满溢出和清零等。

(2) 是三位10进制计数器,但只有一位输出端(输出BCD码),要完成三位输出,采用扫描方式,通过它的选通脉冲信号,依次控制三位十进制的输出,从而实现扫描显示方式。

图2-4-1 CD4553组成方框图图2-4-2 CD4553管脚图CD4553的组成方框图及管脚排列图2-4-1、图2-4-2所示。功能表见下表2-4-1表.下面简要说明这些管脚的功能:

(1)CL(引脚12)为计数器的脉冲输入端。

(2)INH(引脚11)计数允许控制端,当INH为“0”时计数脉冲有CL端进入计数器,而当INH为“1”时,禁止计数脉冲输入计数器,计数器保持禁止钱的最后计数状态。

(3)LE(引脚10)为锁存器允许端,当LE为“1”时,锁存器呈锁存状态而保持原有锁存器内信息。

(4)R(引脚13)为复零端,当R=1时,计数器输出Q0—Q3皆为0.

(5)输出哪一位的计数值由选脉冲DS1—DS3控制(低电平有效)。

(6)溢出OF(引脚14),当CD4553每计满1000个脉冲时。溢出端输出一个脉冲,而后有重新开始计数。

输入输出R CL INH LE

0 上升沿0 0 不变

0 下降沿0 0 计数

0 ╳ 1 ╳不变

0 1 上升沿0 计数

0 1 下降沿0 不变

0 0 ╳╳不变

0 ╳╳下降沿锁存

0 ╳╳ 1 锁存

1 ╳╳0 Q1=Q2=Q3=Q4=0

表2-4-1 CD4553功能表

对于译码电路我们选用CD4511芯片作为电路的译码器。译码器的功能就是把计数器CD4553输出的计数结果(BCD码)转换成七段字型码以驱动数码管,实现数字或符号的显示。

CD4511是常用的(BCD码)—七段显示译码器,它本身由译码器有输出缓冲器组成,具有锁存、译码、和驱动等功能,。其输出的最大电流可达25mA,可直接驱动共阴极LED数码管。鉴于此本课程设计我们采用CD4511作为译码器。

CD4511有四个输入端A,B,C,D和七个输出端a~g,它还具有输入BCD码锁存,灯测试和熄灭显示控制功能,它们分别由锁存端LE、灯测试端/LE、熄灭控制端/BI来控制。

CD4511的引脚排列图如图2-4-3所示,其真值表如下表2-4-2表所示。

由表2-4=2可见,当锁存允许端LE=“0”时,锁存器直通,译码器输出端a~g随输入端A~D端变化而变化,当LE=“1”时锁存器锁定,输出状态保持不变。熄灭控制端/BI=“0”时,译码器输出全“0”,因此,正常工作时应是/BI为高电平。另外灯测试端/LT=“0”时,译码器输出全“1”,数码管各端全亮,即显示8,用来检测数码管是否正常。当输入BCD码大于1001时,七段显示输出全“0”,各段全不亮。CD4511的引脚图如图2-4-3所示:

图2-4-3 CD4511的引脚图

CD4511的真值表如下表2-4-2表所示:

LE /BI /LT D C B A a b c d e f g 显

示╳╳0 ╳╳╳╳ 1 1 1 1 1 1 0 8 ╳0 1 ╳╳╳╳0 0 0 0 0 0 0 熄

灭0 1 1 0 0 0 0 1 1 1 1 1 1 0 0 0 1 1 0 0 0 1 0 1 1 0 0 0 1 1 0 1 1 0 0 1 0 1 1 0 1 1 0 1 2 0 1 1 0 0 1 1 1 1 1 1 1 0 1 3 0 1 1 0 1 0 0 1 1 1 0 0 1 1 4 0 1 1 0 1 0 1 1 0 1 1 0 1 1 5 0 1 1 0 1 1 0 1 0 1 1 1 1 1 6 0 1 1 0 1 1 1 1 1 1 0 0 0 0 7 0 1 1 1 0 0 0 1 1 1 1 1 1 1 8 0 1 1 1 0 0 1 1 1 1 0 0 1 1 9 0 1 1 1010--1111 0 0 0 0 0 0 0 熄

灭1 1 1 ╳╳╳╳为LED上跳前的BCD码决定锁

表2-4-2 CD4511真值表

译码显示采用扫描方式,使三位数字显示只需一片CD4511译码器,这种显示方式可简化电路,节省元件和降低功耗。扫描显示方式的原理图如图2-4-4所示。该图为三位LED显示,所有位的七段码线都并联在一起,而各位数码管的共阴极(对共阴极LED数码管)D1,D2,D3分别被计数器CD4553输出的扫描时序脉冲DS1,DS2,DS3控制(本设计电路中DS1—DS3经三极管BG1—BD3控制D1—D3),从而实现各位的分时选通显示。但要注意为了显示稳定,应使扫描时序脉冲的频率合适,频率过低将会使显示产生闪烁,而频率过高将会使显示产生余辉。扫描频率与显示数码管的位数有关,位数越多扫描的频率应越高,通常可取扫描频率为几百赫兹,可由CD4553接入电容Cs值调整来决定。在这里我们选取1000pf。

对与数码管的限流电阻根据数码管的电流允许大小来设置。将电路中的其中一位显示电路单独列出进行分析,如图2-4-4图所示:

图2-4-4 一位数码管显示电路连接图

限流电阻R1—R7可按下式进行估算:

17OH D CE S

U U U R I ---=

(式 2-4-1)

式2-4-1中式中OH U 为CD4511输出高电平(≈DD VV ), D U 为LED 正向工作电压(约为1.5—2V ),CE U 是三极管T 的管压降(约1V ),S I 为数码管的笔 端电流(约为5—10mA ),则可求得R1—7约为0.5K Ω。我们选择标称值为470Ω的电阻作为限流电阻。

下图图2-4-5为根据以上原理设计的计数译码显示电路:

图2-4-5 计数译码显示电路

第三章整体电路及原理分析

3.1 心跳速率检测器总电路及其原理说明

3.1.1心跳速率检测器电路工作原理

心跳速率检测器总电路图如下图3-1-1所示

图3-1-1 心跳速率检测器总电路

传感器将非电量脉搏的跳动信息转换为电信号,传感器输出的带有脉搏跳动的信息的电信号输入放大整形电路,进行放大整形,因为脉搏传感器出来的电压较弱,一般在毫伏级,需要进行放大。所以要将传感器输出的信号进行放大,使之成为一个幅值适当的信号,才便于后续电路的处理。计数器要对与矩形脉冲才能较准确的计数,所以我们必须将放大后的波形整形为比较中规的矩形脉冲波。然后将放大整形后的信号输入计数器和心率检测电路部分。信号进入CD4553计数器,计数器对输入的脉冲信号的下降沿进行计数器,然后将计数值通过Q0、Q1、Q2、Q3输出一位十进制的BCD的数,通过扫描完成三位的输出。CD4511输出的数据进入CD4511译码器芯片译码,译码器输出后通过限流电阻后直接通过数码管直观的显示出来。通过读取数码管上的数据,即可获得测试者的心率测试结果。

3.2元器件的参数设定

在放大整形电路中C8为滤波电容在此我们用47uf的电解电容,C3为100uf,R6为39K,R5为10K,R5再串上一个50K的电位器方便在调试时调节其静态工作点,晶体管选取NPN型9013,R9选取47K,R8选取10 ,R7选取1K,

二极管采用IN4001型号,整形电路部分由与非门构成的RS触发器用一块74LS00芯片组成。Q2用9012型号的PNP晶体管,RV3用阻值为200K电位器,C6为47Uf 的电解电容,C7为1nF的电容,其信号处理电路用NE555定时器芯片,后续同样用74LS00芯片构成RS触发器结构。D2为LED发光二极管。R12和R13均为470Ω电阻。时基信号产生电路是为了产生一定时15S的定时脉冲。则将C1设为100pf,R4设为200Ω,RV1为200K的电位器,C2设为220Uf,利用NE555加上上述元件构成一个单稳态触发器。在计数译码显示电路中通过设置C1可以设定CD4553扫描时间,在此设定电容为1nf,R10和C5加上按键可构成计数器的复位电路,则设R10为200Ω,C5为47Uf的电解电容。计数器芯片选取CD4553,译码器选取CD4511芯片,由数码管的电流限制选取阻值为470Ω的限流电阻。

3. 3电路仿真

3.3.1仿真软件介绍

对于本次课程设计我们一改前例,使用了一款新的、功能更为强大的仿真软件Proteus。Proteus 软件是由英国 Labcenter Electronics 公司开发的EDA 工具软件,已有近20年的历史,在全球得到了广泛应用。Proteus 软件的功能强大,它集电路设计、制版及仿真等多种功能于一身,不仅能够对电工、电子技术学科涉及的电路进行设计与分析,还能够对微处理器进行设计和仿真,并且功能齐全,界面多彩,是近年来备受电子设计爱好者青睐的一款新型电子线路设计与仿真软件。Proteus软件和我们手头的其他电路设计仿真软件最大的不同即它的功能不是单一的。它的强大的元件库可以和任何电路设计软件相媲美;它的电路仿真功能可以和Multisim相媲美,且独特的单片机仿真功能是Multisim及其他任何仿真软件都不具备的;它的PCB电路制版功能可以和Protel相媲美。它的功能不但强大,而且每种功能都毫不逊于Protel,是广大电子设计爱好者难得的一个工具软件。

3.3.2电路仿真

(1)计数译码显示电路仿真

在这里我只突出译码显示电路的仿真图,由于原理图实在Altium Designer上设计的,这Protues中我发现有更方便的数码管,虽然有四个,但是按照原理,可以只使用其中三个,

电路图接法如图3-3-2所示:

CLK 12Q09Q17Q26Q35DS015DS12DS2

1

OVF 14MR 13

LE 10DIS 11CIA

4

CIB 3U8

4553

A 7

B 1

C 2

D 6LT 3BI

4LE/STB 5

QA 13QB 12QC 11QD 10QE 9QF 15QG

14

U7

4511

C4

1nF

C5

47u

R10

200R

R3

470R

R2470R

R1

470R

R4

1k2

图3-3-2 计数译码显示电路仿真图

对于计数译码显示部分电路的仿真,我们同样也是用按键模拟输入的计数脉冲,我们每按键一次就会产生一个下降沿,计数器计数值加一。对于这部分电路的仿真结果如图3-3-3所示:

CLK 12Q09Q17Q26Q35DS015DS12DS2

1

OVF 14MR 13

LE 10DIS 11CIA

4

CIB 3U8

4553

A 7

B 1

C 2

D 6LT 3BI

4LE/STB 5

QA 13QB 12QC 11QD 10QE 9QF 15QG

14

U7

4511

C4

1nF

C5

47u

R10

200R

R3

470R

R2470R

R1

470R

R4

1k2

图3-3-3 计数译码显示电路仿真结果

上图为按键51次的仿真结果即为输入信号有51个下降沿。计数译码显示电路对这51个下降沿的有效计数脉冲计数的结果,通过数码管显示出。

设计结论

通过这次课程设计,加强了我们动手、思考和解决问题的能力。我觉得做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。对于以前那些没弄懂的地方,在做完课程设计,那些问题弄懂了,一些芯片的功能,平时看课本,这次看了,下次就忘了,通过动手实践让我们对各个元件映象深刻。认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。经过一个星期课程设计制作,过程曲折可谓一语难尽。在此期间我们也失落过,也曾一度热情高涨。从开始时满富盛激情到最后汗水背后的复杂心情,点点滴滴无不令我回味无长。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。同时在此忠心的感谢老师为我们提供了这样一次难得的锻炼机会。

附录:参考文献

[1]阎石.数字电子技术基础[M].第五版.北京:高等教育出版社,2006.

[2]电子线路CAD实用教程潘永雄等编著陕西:西安电子科技大学出版,2006

[3]康华光.电子技术基础模拟部分[M].第五版.北京:高等教育出版社,2006.

[4]杨志亮.Protel 99 se 电路原理图设计技术西北工业大学出版社,2002.

[5]熊幸明、曹才开.电工电子实训教程.北京:清华大学出版社,2007.

[6]韩广兴. 电子元器件与实用电路基础.北京:电子工业出版社,2005.

[7]魏海明.实用电子电路500例.北京:化学工业出版社,2003.

数字人体心率检测仪的设计

数字人体心率检测仪的设计 1.设计思路 本课题研究的是数字人体心率监测仪的设计,我所设计的检测仪,它使用方便,只需将手指端轻轻放在传感器上,即可实时显示出你的每分钟脉搏次数,特别适合体育训练和外出旅游等场合使用。采用红外光学检测法,摒弃了不便于运动状态下测量脉搏的听诊器和吸附在人体上的电极等老式测量方法。检测的基本原理是:随着心脏的搏动,人体组织半透明度随之改变:当血液送到人体组织时,组织的半透明度减小:当血液流回心脏,组织则半透明度增大。这种现象在人体组织较薄的手指尖,耳垂等部位最为明显。因此,本心率检测仪将红外发光二极管产生的红外线照射到人体的上述部位,并用装在该部位的另一侧或旁边的红外光电管来检测机体组织的透明程度并把它转换成电信号。由于此信号的频率与人体每分钟的脉搏次数成正比,故只要把它转换成脉冲并进行整形,计数和显示,即可实时的测出脉搏的次数。 心率与脉搏的联系:心率与脉搏在身体正常的时候是相等的。在房颤等心脏疾病时候可出现不等。因此心率测量问题可以转化为脉搏的测量,而脉搏的测量有更容易实现的特点,在实际应用中得到更广泛的运用。 本检测仪的有效测量范围为50次—199次/分钟。 2 方案设计 2.1 心率采集处理电路 心率采集处理电路如图1-1所示。该部分电路主要由脉搏次数红外检测采集电路模块、信号抗干扰电路模块、信号整形电路模块等三个主要的电路模块组成。其中,红外线发射管D1和红外线接收管Q1组成了红外检测采集电路:R2与C1、C2与C3、R4与C4和ICA共同工程了信号抗干扰电路组,他们分别承担了对信号的低通滤波、干扰光

线的光电隔离、参与高频干扰的滤除等任务。另外,I CB、C5与R10、ICC则共同组成了信号整形电路模块。 图1 光电式脉搏波传感器的原理 其原理是利用光电信号来测量脉搏容量的变化。当血管内容量变化时,组织对光的吸收程度相对发生变化,利用光电传感器可测出这种变化,该变化反映出血液动脉的基本参数情况。根据朗伯特—比尔(lambert—beer)定律,物质在一定波长处的吸光度和他的浓度成正比,当恒定波长的光照射到人体组织上时,通过人体组织吸收、反射衰减后测量到的光强在一定程度上反映了被照射部位组织的结构特征。 光源和光敏元件分别处于被测部位的两侧,光源发出的光线可以经指尖部组织投射到光敏元件所在的窗口,从而有光敏元件检测出脉搏的波动信号,这样纪录的波也有将其作为指尖容积波处理,通常称这种传感器为透射型光电式脉搏波传感器。 本次设计原用的透射型光电式脉搏波传感器,其电路如图2 所示。

基于单片机的心率计设计

目录 摘要 (3) 英文摘要 (3) 1 引言 (4) 1.1 心率计的研究背景和意义 (4) 1.2 心率计的研究现状及发展动态 (4) 2 方案论证及元器件选择 (5) 2.1 研究内容及设计指标 (5) 2.2 方案设计与论证 (5) 2.2.1 传感器的选择与论证 (5) 2.2.2 信号处理方案选择和论证 (7) 2.2.3 单片机系统选择和论证 (8) 2.2.4 显示模块选择和论证 (9) 2.3元器件选择及其功能介绍 (9) 2.3.1单片机AT89S52 (9) 2.3.2红外传感器 (11) 2.3.3双运算放大器LM358N (11) 2.3.4 LCD12864 (12) 3 硬件系统设计 (13) 3.1 系统设计框图 (13) 3.2 信号采集电路 (14) 3.3 信号放大电路 (15) 3.3.1一级信号放大电路 (15) 3.3.2 电源模块设计 (16) 3.4 信号比较电路 (17) 3.5 LCD显示电路 (18) 3.6 记忆电路 (18) 3.7 键盘电路 (19) 4 软件设计 (19) 4.1 测量计算原理 (20) 4.2 主程序流程图 (20)

4.3 中断程序流程图 (21) 4.4 定时器T0,T1的中断服务程序 (21) 5 系统测试与结果分析 (22) 5.1 测试方法和仪器 (22) 5.2 仿真与焊接阶段 (23) 5.2.1 仿真阶段 (23) 5.2.2 焊接与完成阶段 (23) 5.3 测试数据与结果分析 (25) 5.3.1测量结果与分析 (25) 5.3.2几种主要系统干扰和影响 (27) 结束语 (28) 参考文献 (29) 附录一:心率计电路图 附录二:部分程序

数字脉搏计_实验报告

【设计任务与要求】 1、要求用十进制数显示被测人体脉搏每分钟跳动的次数,测量范围30~160次/min; 2、要求在短时间内(5s、15s)测出脉搏数/每分钟; 3、测量范围要求在±4次/min以内; 4、要求锁定每分钟脉搏数,将测量结果通过数码管出来,共分为显示计数过程,不显示技术过程两种方案; 5、要求采用手动清零、自动清零(自启动)两种方式。 【课程方案原理框图】 【课程方案】 1、信号发生与采集将脉搏跳动信号传感器转换为与此相对应的电脉冲信号; 2、放大电路把传感器的微弱电流放大,微弱电压放大,采用高输入阻抗的非门进行放大; 3、低通滤波滤除空气中的高频,只让低频脉冲信号通过。对脉搏信号进行采集的时候,空气中交流工频干扰最大,根据有源滤波原理将其滤除。 4、整形电路可用两个非门组成的施密特触发器对放大后的信号进行整形; 5、定时电路用555定时器组成多谐振荡器,达到5s、15s的精确计时; 6、通过计数、译码、显示读出脉搏数,并以十进制数的形式由数码管显示出来。数码管采用共阴数码管。 【单元电路设计与参数计算】 1、信号发生与采集: 通过陶瓷压电传感器对脉搏进行采样收集。 2、放大与滤波电路: 将5mV的正弦信号放大为5V的正弦信号,即差模电压增益为1000。

图示为用LM324设计的同相放大器,其输出信号,Vi 为幅值为5mV 的输入信号。则另: 倍。,即正弦信号放大了可得10001000,321,33≈= Ω==Ω=Vi Vo Av K R R M R Vi R R Vo )1 3 1(+=左图为二阶低通滤波器电压增益随频率变化曲线,在f=f o 之后随f 增加,增益急剧下降,从而达到低于f 频率通过的效果

便携式心率测试仪(开题报告)

五邑大学 电子系统设计开题报告题目:便携式心率测试仪 院系信息工程学院 专业电子信息工程 学号 学生姓名 指导教师 开题报告日期

一、课题来源、国内外研究现状与水平及研究意义、目的。 1.课题来源 便携式心率测试仪 2.国内外研究现状与水平 便携式医疗设备正不断改进数以百万计患者的医疗保健条件。现在外国的先进运动手表甚至能够无线记录用户的心率。未来,还将有众多能显著改善医疗实施及其效果的创新型医疗应用产品。 满足便携式医疗领域的微处理器需求给半导体企业带来了挑战。虽然工程设计无外乎是在相对立的功能、规范以及空间限制条件之间进行取舍,但是这种平衡取舍在便携式医疗领域往往非常棘手。医疗市场的相关需求往往很难协调,如小尺寸与高功能性、低功耗与高性能模拟,以及超长电池使用寿命与高处理能力等。这些产品需要模数转换器 (ADC)、可调节增益、电源管理以及液晶显示屏 (LCD) 等。这些都将是需要我们更多的去研究和发展。 3.研究意义和目的 以往专门测量心率值的仪器较少,人们为了知道自己的运动或者劳动强度是否超负荷,尤其是老年人或运动员等,他们都得赶到医院而不能实时测量和预知。为了观测“预防为主”的方针,为了实现人人能享受基本医疗保健的目标,把过去的以医院为轴心的医疗服务体系过度到以家庭为基础的社区卫生服务体系已成为必 然趋势。所以便携式医疗仪器已相继问世。便携式心率测试仪属于一种集轻型化、一体化、可视化等优点的测试仪;同时它适合在家庭和社区条件下使用。心电诊断仪、心率计的应用在心血管疾病的研究和诊断方面发挥出显著的作用,它们所记录的心脏活动时的生物电信号,已成为临床诊断的重要依据。该心率仪可用于临床心率监护;并为体力劳动者劳动强度测定、运动员及士兵训练强度测定等提供确凿的和必不可少的生理指标。 二、研究内容,拟采取的研究方法、实验过程、预期成果。(附主要参考文献)1.研究内容 将脉搏通过传感器转为电压信号,再通过不同的集成芯片将电压信号完成放大、滤波、整流等一系列工作,然后利用单片机进行处理计算。实现在任何地点任何时间都能快速检测出人体的心率,达到集轻型化、一体化、可视化等优点于一身的系统。 2.拟采取的研究方法 了解课题所需知识点,然后翻阅相关资料和教材,通过网页搜索查找相关资料,计算各参数,了解各元器件的功能作用,设计电路图,用相关的仿真软件进行仿真,最后进行实物调试。

数字心率计设计资料

数字心率计设计说明书 1、程设计任务书

2.说明书正文 2.1:任务分析与方案设计 心率计是用来测量一个人心脏在单位时间跳动次数的电子仪器。心脏的收缩和舒张引起血压的变化,不同年龄段和不同健康状况的人正常血压范围有较大差异,但是收缩压和舒张压的差值却大致都在40mmHg~50mmHg 范围内。基于此,可以利用压力传感器将人体血压的变化转化成电压的变化,再通过滤波、放大、整形后得到方波,由模拟转化成数字后再进行后续处理。现提出两种计数方案: 1)定时计数 在一定时间内对脉冲信号进行计数。由于任务要求在短时间内测出1分钟心脏跳动的次数,则需要对整形后的方波信号进行倍频;又由于测量误差要求≤±4次/分钟,则最多可以4倍频,此时,测量时间为15s 。 电路模块方框图: 2)定数计时 在定数的脉冲信号持续时间内,对标准时钟信号进行计数,再通过转换得到心率值。如 设置标准时钟信号周期为0.1s ,在 6个脉冲信号持续时间内(即5个心脏跳动周期)对标准时钟信号进行计数,设计数值为 N ,则心率为3000/N 。计算过程如下: 每个脉冲周期To=0.1N/5 s ,则心率S=60/To=3000/N(次/ 分钟)。 电路模块方框图: 方案一的测量时间长,测量误差也较大,且测量误差与测量时间成反比关系;但是计数

值即为心率值,电路实现较为简单。方案二测量时间短,测量误差也小;但是计数后的值还需要进行除法转换后才是心率值,电路实现较为复杂,成本也较高,故采用方案一。 2.2:电路设计,元器件参数计算及选择 2.2.1:传感器的选择 传感器的选择需要综合考虑各项性能参数,这些性能参数要能满足测量要求,现对传感器的各项性能参数以及任务要求分析如下: 1)线性度指传感器输出与输入之间成线性的程度。任务要求是测量心脏跳动的次数,而并未要求测量出血压值,故只需要得到一个个脉冲输出即可,对其量值没有太大要求,故系统对传感器线性度要求不高。 2)灵敏度灵敏度是传感器在稳态下输出变化量对输入变化量的比值。由于人的血压压力较小,属于微压,也是微压差,故要求传感器有较大的灵敏度,才有一个比较大的输出量,对噪声的抑制也会更高。当然,灵敏度也要和后级放大器的放大倍数相匹配,并不是越大越好。3)迟滞也叫回程差,是指在相同测量条件下,对应于同一大小的输入量,传感器正反行程的输出信号大小不相等的现象。由于系统仅要求测出脉冲输出即可,故对迟滞性能要求不高。4)重复性表示传感器在输入量按同一方向作全量程多次测试时所得输入-输出特性曲线一致程度。重复性好,对于噪声抑制有利,故要求传感器有较好的重复性。 5)漂移指传感器在输入量不变的情况下,输出量随时间变化的程度。要求传感器有较小的漂移。 6)频率响应由于脉搏频率较低,所以对传感器频率响应要求不高。 综合以上性能参数以及任务要求,可以选出既能满足测量要求,又最便宜的传感器。通过搜集大量压力传感器信息进行综合比对,飞思卡尔的MPX2050D压阻式硅压力传感器能够满足要求。其主要特点如下: 压力范围0到50kPa 温度补偿范围0 到 +85℃ 独有的硅切应力应变片 提供编带式或卷轴式出货封装选项 对供电电压比率输出 外壳采用聚砜(Mindel S–1000)材料(医用5级许可) 图2.1 传感器外形图图2.2 传感器示意图 其主要性能参数如下: 表2.1

电子技术课程设计——红外线心率计

电子技术课程设计 报告 专业: xxxx 班级: xxxxx 姓名: xxx 学号: xxxxxxxx 指导教师: xxx 完成日期: xxxx年x月x日 目录 一、设计目的------------------------------3 二、设计要求------------------------------3 三、设计指标------------------------------3 四、设计框图及整机概述--------------------3 五、各单元电路的设计及仿真-----------------4 1、检测电路-----------------------------4 2、放大电路-----------------------------5 3、滤波电路-----------------------------5 4、整形电路-----------------------------6 5、倍频电路-----------------------------6

6、定时电路-----------------------------7 7、计数电路-----------------------------7 8、译码电路-----------------------------8 9、显示电路-----------------------------9 六、电路装配、调试与结果分析---------------9 七、设计、装配及调试中的体会---------------10 八、附录(包括整机逻辑电路图和元器件清单)-10 九、参考资源-------------------------------11 一、设计目的 电子技术综合设计是综合应用模技术拟电子技术、数字电子技术、电子设计自动化技术进行电子系统的综合设计。本课程设计通过电子脉搏测试仪的设计,要求学生对红外线心率计的电路布局、安装、调试,让学生了解电子产品的生产工艺流程,掌握常用元器件的识别和测试及电子产品生产基本操作技能,培养学生的动手能力。 二、设计要求 脉搏测试仪是用来测量一个人心脏跳动次数的电子仪器,也是心电图测量的组要部分。本次课程设计要求用红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算出每分钟波动的次数。 三、设计指标 1、设计一个脉搏测试仪,要求实现在30s内测量1min的脉搏数,并且显示其数字。正常人脉搏数为60-80次/min,婴儿为90-100次/min,老人为100-150次/min。可自行设计所需的直流电源。 2、设置指示电路指示直流电源的正常与否。 3、放大电路之后设置指示电路指示放大电路的正常与否。 4、放大电路放大倍数可调。 5、整形电路输出的方波占空比可调。

便携式心率监测仪文献综述

文献综述 一、目的和意义 便携式医疗设备正不断改进数以百万计患者的医疗保健条件。未来,还将有众多能显著改善医疗效果的创新型医疗应用产品。多年来,心率检测仪在心血管疾病的研究和诊断方面发挥出显著的作用,它们所记录的心脏活动时的生物电信号,已成为临床诊断的重要依据。目前,检测心率的仪器虽然很多,但是体积大,功耗大,不易于携带。有些医院使用的各种心率监测仪器抗干扰性差,开发成本高,价格昂贵,即便用于心率信号采集的传感器也价格不菲。如果心率监测的仪器能够做到体积小,制作成本和销售价格低、操作简单,能被普通家庭患者接受,这无疑为临床诊断和个人保健使用提供了方便。因此,设计一种成本低廉,可随身携带,可长时间记录,显示和存储心率值,可与微机通讯并具有较强抗干扰能力的心率检测仪是十分必要的。基于此,本文探究研发了一种体积小,操作简单,适合家庭和社区医疗保健使用的便携式心率检测仪。 二、国内外现状 心电监护(ECG Telemonitor)的历史,可以追溯到上世纪初。1903年,“心电图之父”荷兰教授Einthoven通过1500米的电缆线,记录了世界上第一份完整人体心电图,这在后来被广泛认为是心电监护的雏形。其后数十年间,伴随冠心病等心血管疾病的大肆流行,心电采集和监测技术得以迅猛发展。最早,医务人员对ECG的监测和需求,是从危重病人抢救开始的。1933年Hooker首次进行实验动物心脏复苏,

通过密切观察心脏跳动状况,来总结和判断病人的危重抢救效果。1943年Claude Beek首次在手术室内实施电除颤,开始ECG的监测和临床应用。1952年Zoll首次推出心脏起搏术,通过对心脏功能未完全恢复的病人进行起搏、监护,使病人得以康复。1956年体外除颤仪问世,提高了危重病人抢救的存活率。1960年Kauwenhoven报道胸外心脏按摩有效,心脏复苏技术日渐成熟。1960年研发的持续床边ECG监测仪,能够适时不断地监护病人的ECG状况,使得心脏病人及危重病人得以密切和连续的被观察,同时帮助医务人员能对病人的心电情况做出连续的分析和判断。20世纪中晚期,动态心电图(Holter)、床旁心电监护仪先后发明并在临床得到应用。同期,使用远程通信技术、全息影像技术、新电子技术和计算机多媒体技术、网络技术的远程医疗(TeleMedicine)日益兴起和成熟,心电远程监护获得了长久发展和广泛应用。20世纪60~80年代,基于传输的心电监护技术(TTM)在国外得到应用和普及,并取得了良好的效果。TTM技术的原理是将实时采集的心电信息转变为声音,通过传至医院接收机,再将声音谐调为心电信号,用心电图机描记,医生通过给予患者诊断和治疗国内的医用心电监测仪虽然相比国外起步较晚,但经过多年的研究发展也取得了相当可观的成果。某大学电气工程学院的陈颖昭、高跃明等人设计了一种一种基于STM32 的便携式家用心电检测仪。心电电极采集体表单导联心电信号,经预处理电路对心电信号进行放大、滤波和电平抬升后,送至STM32 中进行模/数转换和数字处理,在液晶屏上实时显示心电波形、心率和分析结果。实验表明,该心电

心率测试仪设计 开题报告

五邑大学 电子系统设计开题报告题目: 院系电子信息学院 专业电子信息工程 学号 学生姓名陈伟瀚 指导教师张京玲 开题报告日期2011.9.13 五邑大学教务处制 2011年8月

说明 一、开题报告应包括下列主要内容: 1.课题来源及研究的目的和意义; 2.国内外在该方向的研究现状及分析; 3.本课题研究的主要内容; 4.具体研究方案及进度安排和预期达到的目标; 5.预计研究过程中可能遇到的困难和问题,以及解决的措施; 6.主要参考文献。 二、对开题报告的要求: 1.开题报告的字数应在2000字左右; 2.阅读的主要参考文献应不少于5篇,英文参考文献量根据专业的不同确定,本学科的基础和专业课教材一般不应列为参考资料。 3.参考文献采用顺序编码制,即在开题报告引文中按引文出现先后以阿拉伯数字连续编码,序号置于方括号内,并作为上标出现。 4.参考文献书写顺序:序号作者.文章名.学术刊物名.年,卷(期):引用起止页。

一、课题来源、国内外研究现状与水平及研究意义、目的。 1.课题来源 自拟题目。 2.国内外研究现状与水平 科技的创新,脉搏测试不再局限于传统的人工测试法或听诊器测试法,脉搏测量可利用电子仪器测量出精度更就的数据。人体脉搏信号中包含丰富的生理信息,也逐渐引起了临床医生的很大兴趣,达到了方便、快捷、准确在测量脉搏的目的。随着电子测量技术的迅速发展,现代电子测量仪器以极快的速度向数字化、自动化的方向发展。制成的脉搏测量仪器性能良好,结构简单,因此对脉搏波采集和处理具有很高的医学价值和应用前景。 3.研究意义和目的 脉诊是中医独创的诊断方法,这是由于人体内部各器官的健康状态可以在脉搏信息中反映出来。自古以来,脉诊一直是中医检查病人情况的一种手段。 科学已经证明脉搏波所呈现出来的形态、强度、速率和节律等方面的综合信息,能反映出人体心血管系统中许多生理疾病的血流特征。 随着科学技术的发展,各个学科之间的结合越来越紧密。而心率检测仪(脉搏测量仪)就是科学发展下,信息学科与生命学科结合的一种产物。 二、研究内容,拟采取的研究方法、实验过程、预期成果。(附主要参考文献)1.研究内容 1.便携式心率测试仪的第一部分基本功能: 心率信号由传感器(例如光电传感器) 模块进行采集 采集后的信号经过放大和滤波(特别滤除50HZ信号的干扰),进行整形后,得到幅值在0~5v的脉冲信号 2.便携式心率测试仪的第二部分基本功能: 可选用单片机进行心率测定,在数码管上显示出被测者心率 也可选用可编程器件PLD(进行仿真)进行心率测定和显示 2.拟采取的研究方法 综合各方面因素,决定采取光电传感器来抓取心率信号。 血液是高度不透明的液体,光照在一般组织中的穿透性要比血液中大几十倍,据此特点,采用光电效应手指脉搏传感器来拾取脉搏信号。反向偏压的光敏二极

心率测试仪

1.消抖电路: 2.分频器: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity fpq is port (clk: in std_logic; f50k:buffer std_logic:='0'; f1k:buffer std_logic; f5k:buffer std_logic; f2k:buffer std_logic; f100hz:buffer std_logic; f2hz:buffer std_logic; f1s:buffer std_logic; f6s:buffer std_logic:='1'); end fpq; architecture one of fpq is signal count_6s,count_100: std_logic_vector(3 downto 0); signal count_1m,count_1s,count_05s,count_1k,cou nt_2k, count_5k: std_logic_vector(8 downto 0); signal count_hec:std_logic_vector(9 downto 0); signal fpq_hec:std_logic_vector(9 downto 0); Begin --50khz process(clk) begin if(clk'event and clk='1')then if(count_1m="011111001")then--500分频(系统时钟25MHz) count_1m<="000000000"; f50k<=not f50k; else count_1m<=count_1m+1; end if; end if; end process; --5Khz process(f50k) begin if(f50k'event and f50k='1')then if(count_5k="00000100")then--10分频count_5k<="000000000"; f5k<=not f5k; else count_5k<=count_5k+1; end if;

数字式脉搏计设计与制作

《数字电子技术》课程设计报告 班级电气1077 学号 1071206138 学生姓名缪亮亮 专业电气工程及其自动化 系别电子与电气工程学院 指导教师电子技术课程设计指导小组 淮阴工学院 电子信息工程系 2009年12月

1、设计目的: a) 培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产 实际知识去分析和解决工程实际问题的能力。 b) 学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知 识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。 c) 进行基本技术技能训练,如基本仪器仪表的使用,常用元器件的识别、测 量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。 d) 培养学生的创新能力。 2、设计要求: 要求:实现在15S内测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。 1、主要单元电路和元器件参数计算、选择; 2、画出总体电路图; 3、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。焊接完 毕后,应对照电路图仔细检查,看是否有错接、漏接、虚焊的现象。 4、调试电路 5、电路性能指标测试 3、总体设计: 3·1数字脉搏测试仪原理方框图

上图即为数字式脉搏计的总体设计框图。该数字式脉搏计由以下几部分组成:1)脉冲产生电路 2)放大整形电路 3)计数电路 4)定时电路 5)译码显示电路 3·2电路组成及工作原理 数字式脉搏计设计的思路是:先由压电陶瓷片YD将拾取的脉搏跳动信号转换成电信号,经LM324放大整形后,送到由CD4553和CD4511组成的计数显示电路。CD4553内部输入端设置了脉冲整形电路,所以对脉冲无甚特殊要求。它只有一组BCD 码输出,但通过内部分时控制可形成三位十进制数字显示。CD4511是译码器,其输出驱动三位LED共阴数码管。BG1、BG2、BG3分别由CD4553的15、1、2脚控制实现三位数码管的分时显示。CD4060组成计数闸门设定电路,R5、R6、C6与其内部电路组成振荡器,振荡器信号经内部213次分频后,由2脚输出延时 60 秒的正脉冲加到CD4553的11脚关闭闸门。使用时,用手表带或松紧带将压电陶瓷片压在手腕的挠动脉处,注意一定要压紧。在合上开关 K1 后即按一下复位开关 K2,使CD4060和CD4553清零,这时计数闸门打开,脉搏信号由CD4553进行计数。1分钟后,CD4060输出一高电平,使计数闸门关闭。这时数码管显示的数字即为每分钟的脉搏数。 3·3各框图的功能和可选电路及特点 1)脉冲产生电路:2)放大整形电路:可选LM324 3)计数电路:可选CD4553 4)定时电路:可选CD4060 5)译码显示电路:可选CD4511驱动共阴极数码管。 3·4电路制作所需的工具 3·5元器件列表

脉搏计课程设计报告

报告成绩 电子电路综合实验报告 学生:贺杰 学号:1410404006 专业年级:2014级通信工程4班 指导教师:周妮讲师 起止日期:2016年3月—2016年6月 电气与信息工程学院 2016年6月3日

目录 1目的与意义 (1) 3 方案设计 (1) 4 系统硬件设计 (3) 5仿真调试与分析 (10) 6结论与体会 (10) 参考文献 (10) 附录 (11) 附录A 系统实物图 (11)

摘要:电于脉搏计可以连续台动地测量手术或重危病人的脉搏,也可以用于健康管理,运动员的训练等方面,为提高运用电子技术基本知识进行理论设计、实践创新以及独立工作、团队合作的能力,通过实践制作一个数字频率计,学会合理的利用集成电子器件制作基于数字电路和模拟电路的课程设计与制作。电子脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分,它是用来测量频率较低的小信号。 1目的与意义 一、目的: 1、掌握组合逻辑电路的工作原理及设计方法。 2、学会安装和调试分立元件与集成电路组成的电子电路小系统。 二、意义 对于医院的危重病人,或者在其他一些特殊场合,需对人的脉搏进行连续检测,本课题即针对这一需求,设计一台简易的电子脉搏计。 1、制作要求 实现在15S测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min 婴儿为90~100次/min,老人为100~150次/min。(只考虑数字部分,即输入波形视为矩形波) 2、制作步骤 (1)拟定测试方案和设计步骤,填写真值表; (2)根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (3)进行相应的仿真测试; (4)设计、调试和安装电路并测试; (5)撰写设计报告。 2 方案设计 电子脉搏计是由脉搏计数器和控制时间的定时电路所组成,并且还要在15S测量出1min的脉搏数。所以,我们先按要求,分开设计各个功能的电路图,然后再组合连接成一个完整的按要求的电子脉搏计。 方案一:

便携式心率测试仪电子系统设计报告

五邑大学 电子系统设计结题报告题目:便携式心率测试仪 院系信息工程学院 专业电子信息工程 学号 学生姓名 指导教师 报告日期2012.12.18

目录 1、摘要 (2) 2、课题研究意义 (2) 2.1.背景 (2) 2.2 设计任务与要求 (2) 3、方案设计说明 (2) 3.1硬件电路原理分析说明 (2) 3.1.1信号放大电路 (2) 3.1.2滤波电路 (3) 3.1.3整形电路 (4) 3.1.4单片机信号处理电路 (4) 3.1.5数码管显示电路 (5) 3.2软件设计 (6) 3.2.1编程环境与开发工具 (6) 3.2.2源程序及注解 (7) 4、调试过程遇到的问题与解决的方法 (9) 5、5、设计总结及体会 (9) 6、参考文献 (9) 7、附录 (10)

1、摘要 本文设计了一种基于STC89C51单片机实现的便携式心率测试仪.接受心率测试检测模块发送的信号并对信号进行检测分析并显示,从而实现心率测试功能。该系统的硬件单元包括信号放大电路、滤波电路、整形电路、单片机控制电路和数码管显示电路。采用了放大电路后,使得采集的脉搏信号放大到整形电路要求的电压幅度。滤波电路消除了干扰,得到特定频率的低频信号。整形电路把模拟信号转换成单片机能够处理的数字信号。单片机内的处理程序将接收到的信号进行监测分析,得出心率值,经单片机I/O口发送给由数码管组成的显示模块显示。 2、课题研究意义 2.1背景 1)健康的重要性不言而喻,越来越多的研究表明心率是健康极其重要的指标。一般人们为了知道 自己的运动或者劳动强度是否超负荷,尤其是老年人、运动员等,他们都得赶到医院而不能实时 测量和预知。为了贯彻党和国家“预防为主”的医疗方针,满足人们能享受基本医疗保健的愿望, 便携式心率测试仪应运而生,也极具市场潜力。 2)心脏病人往往需要经常去医院定期心脏检测,此仪器可以随时将病人的心脏情况记录和保存, 并发送给医生,从而给病人带来便捷也有助于治疗;当心脏类疾病突发时,也可以提前将心脏情 况发送给医生,从而缩短救援时间,提高救援成功率。 2.2设计任务与要求 2.2.1设计任务:设计基于C51单片机的便携式心率测试仪。 2.2.2要求:(1)设计脉搏波放大、滤波、整形电路,实现所采集的脉搏信号的放大、滤波、 整形。 (2)设计单片机电路及处理程序与数码管显示电路,实现心率信号的处理与正 确显示。 3、方案设计说明 3.1硬件电路原理分析说明 3.1.1信号放大电路 作用:将采集的幅度值过小的心率信号放大到足够大的幅值。 原理:电路如图所示:利用运算放大器实现反向比例放大电路。运算放大器在深度负反馈的条件下 工作于线性区,根据“虚短”和“虚断”的概念对以上电路进行分析,可得: 放大器增益Ua=-R17/R16=20 电路采用LM324双极型线性集成放大器,有直流电压增益高(约

心率计 毕业设计论文

摘要 在社会飞速发展的今天,人们的物质文化生活得到了极大的提高,但同时多种疾病威胁着人们的生命;而心脏病的发作又是人们难以预防的突发致命疾病,所以健康也被越来越多的人所重视。本设计要解决的问题就是可以测量心率、预防心脏病等心脏方面疾病的数字心率计。 本设计采用以AT89S52单片机为核心的低成本、高精度、微型化数字显示心率计的硬件电路和软件设计方法。整个电路采用模块化设计,由主程序、预置子程序、信号采集子程序、信号放大处理子程序、显示子程序等模块组成。各探头的信号经单片机综合分析处理,实现心率测量的各种功能。在此基础上设计了系统的总体方案,最后通过硬件和软件实现了各个功能模块。相关部分附有硬件电路图、程序流程图。该心率计的原理是用红外光电传感器OPT101接收到人体信号,因人体信号很微弱,所以在电路中设置了双重放大电路(主要芯片:OP07、LM324N)。该信号经放大整形处理后传给A/D转换器实现模拟信号转为数字信号,经过以上处理后,再传给单片机AT89S52计算,计算完后由四位数码管显示出来。 该心率计可以简单的测量出人的心跳和人体体温,基本实现了预定的目标,这将大大减少病人测量心跳和体温的时间。 关键字:心率;测量;单片机AT89S52;转换器

Abstract Today in the rapid development of society, people's material and cultural life has been greatly improved, but also a variety of diseases threatening people's lives; and heart attack is it difficult to prevent sudden fatal disease, so health is also valued by more and more people. The design problem to be solved is that you can measure heart rate, cardiac disease, heart disease and other digital heart rate meter. This design uses to A T89S52 microcontroller core, low-cost, high accuracy, digital display of heart rate meter miniaturization of hardware and software design. The probe by the single chip integrated analysis of signal processing functions to achieve heart rate measurement. On this basis, the overall design of the system program, and finally achieved through various hardware and software modules. With the relevant parts of the hardware circuit, the program flow chart. The principle of the heart rate meter is used to receive infrared photoelectric sensor OPT101 to human signals, the signal is very weak because of the human body, so the circuit is set in the dual amplifier (main chip: OP07, LM324N). The signal passed through enlarged plastic treated A / D converter for analog signals into digital signals, with the above treatment, and then passed to microcontroller AT89S52 calculated, finished up by four digital displayds heart rate, The heart rate meter can easily measure the person's heart rate and body temperature, essentially achieving its stated goals, which will greatly reduce the patients of heart rate and body temperature of the time. Keywords: heart rate;measurement;microcontroller AT89S52;converter

心率测试仪的设计

江西工业贸易职业技术学院毕业设计 摘要 随着生物医学工程技术的发展, 医学信号测量仪器日新月异。生物医学测量与临床医学和保健医疗的联系日益紧密。通过对人体各种生理信号的检测,能更好的认识人体的生命现象。脉象包含丰富的人体健康状况信息, 脉诊技术应客观化、定量化。本设计利用光电式传感器, 设计脉搏信号获取的方法。本设计主要是基于单片机的便携式脉搏测试仪的具体实现方法,利用光电传感器产生脉冲信号,经过放大整形后,输入单片机内进行相应的控制,从而测量出一分钟内的脉搏跳动次数,快捷方便。通过观测脉搏信号,可以对人体的健康进行检查,通常被用于保健中心和医院。本设计所设计的基于单片机的便携式心率测试仪对推进脉诊技术客观化的实现具有积极的促进作用。 脉搏;单片机;光电传感器;脉冲信号;便携式关键词: I 江西工业贸易职业技术学院毕业设计 目录 摘要I........................................................................................................................................ .第1章引言....................................................................................................................... 11.1概述. (1)

1.2基于单片机的心率测试仪的发展与应用 (2) 1.3本设计的主要内容 (3) 第2章整体方案分析.................................................................................................... 4. 2.1任务 (4) 2.2要求 (4) 2.3系统的整体方案 (4) 2.4 方案的对比和论证 (4) 2.4.1脉搏检测传感器的选择 (4) 2.4.2单片机的选择 (6) 2.4.3显示部分的选择 (6) 2.5设计时要考虑的问题 (7) 2.5.1环境光对脉搏传感器测量的影响 (7) 2.5.2电磁干扰对脉搏传感器的影响 (7) 2.5.3测量过程中运动噪声的影响 (8) 2.6本章小结 (8) 第3章硬件电路设计分析........................................................................................... 93.1控制 器 (9) 3.1.1AT89S52 (9) 3.1.2AT89S52的特点 (9) 3.1.3AT89S52的结构 (9) 3.2脉搏信号采集....................................................................................................... 12 3.2.1光电传感器的结构及原理 (12) 3.2.2信号采集电路 (13) 3.3信号放大电路....................................................................................................... 13

测试技术课程设计脉搏测量仪

《机械工程测试技术》 课程设计 脉搏测量仪的设计 姓名:张峰 学院:机电工程学院 专业:机械设计制造及其自动化班级:2010级本科4班 学号:201015130457 完成日期:2012年12月28日

摘要 医院的护士每天都要给住院的病人把脉记录病人每分钟脉搏数,方法是用手按在病人腕部的动脉上,根据脉搏的跳动进行计数。为了节省时间,一般不会作1分钟的测量,通常是测量10秒钟时间内心跳的数,再把结果乘以6即得到每分钟的心跳数,即使这样做还是比较费时,而且精度也不高。本文介绍一种用单片机制作的脉搏测量仪,只要人把手指放在传感器内2秒钟就可以精确测量出每分钟脉搏数,测量结果用三位数字显示。 关键词:AT89C2051;单片机;脉搏测量仪

目录 第一章引言 (1) 第二章基本结构模块 (2) 2.1脉搏波检测电路 (2) 2.2脉搏信号拾取电路 (2) 2.3信号放大 (3) 2.4波形整形部分 (5) 第三章整体电路分析 (7) 3.1光发射电路 (7) 3.2光电转换电路 (7) 3.3信号采集及处理系统 (8) 3.4过采样技术的应用 (8) 3.5整体硬件电路设计 (9) 参考文献 (10)

第一章引言 脉搏测量属于检测有无脉博的测量,有脉搏时遮挡光线,无脉搏时透光强,所采用的传感器是红外接收二极管和红外发射二极管。用于体育测量用的脉搏测量大致有指脉和耳脉二种方式。这二种测量方式各有优缺点,指脉测量比较方便、简单,但因为手指上的汗腺较多,指夹常年使用,污染可能会使测量灵敏度下降;耳脉测量比较干净,传感器使用环境污染少,容易维护。但因耳脉较弱,尤其是当季节变化时,所测信号受环境温度影响明显,造成测量结果不准确。 从脉搏波中提取人体的生理病理信息作为临床诊断和治疗的依据,历来都受到中外医学界的重视。几乎世界上所有的民族都用过“摸脉”作为诊断疾病的手段。脉搏波所呈现出的形态(波形)、强度(波幅)、速率(波速)和节律(周期)等方面的综合信息,在很大程度上反映出人体心血管系统中许多生理病理的血流特征,因此对脉搏波采集和。 处理具有很高的医学价值和应用前景。但人体的生物信号多属于强噪声背景下的低频的弱信号, 脉搏波信号更是低频微弱的非电生理信号, 必需经过放大和后级滤波以满足采集的要求。

课程设计————电子心率计的设计

课程设计说明书正文 1:任务分析与方案设计 心率计是用来测量一个人心脏单位时间内跳动次数的电子仪器,由于人体各部位心率一致,所以通常测量人手臂处的脉搏即可测出人体心率。任务要求测出的心率为一分钟内心跳的次数,并显示,测量结果要与标准范围作比较,不在标准范围内则报警。 设计方案为:采用传感器,量脉搏的跳动,出微弱的信号,入放大器中放大;后通过滤波器滤除干扰信号后,将形整形为方波或脉冲信号;将其作为计数控制信号,用基准时间一定的方波作为计数脉冲在一个心跳周期内计数,计数值N 与基准时间T 的乘积就是一次心跳的时间。再对“60/基准时间T ”个脉冲进行N 分频,对分频后的信号计数,其计数值则为本次心率数值。之后计数器计数值输入到显示器中显示,同时,将其输入的频率进行F/V 转换后与标准电压值作比较,若,测量值不在标准值范围内则报警,即LED 灯亮。流程图如下。 2:电路设计,元器件参数计算及选择 2.1:传感器的选择 :

红外线检测原理: 随着心脏的博动,人体组织半透度随之改变,当血液流回心脏,组织半透度增大,这种现象在人体组织较薄的指尖、耳垂等部位最明显。用红外发光二极管产生红外线照射到人体上述部位,并用装在一旁的红外光电管来检测机体组织的透明度并转换成电信号,其信号频率与脉搏频率相对应并且其为低频近似的正弦信号。 TCRT5000(L)具有紧凑的结构发光灯和检测器安排在同一方向上,利用红外光谱反射对象

电压跟随器的显著特点就是,输入阻抗高,而输出阻抗低,一般来说,输入阻抗要达到几兆欧姆是很容易做到的。输出阻抗低,通常可以到几欧姆,甚至更低。 在电路中,电压跟随器一般做缓冲级及隔离级。因为,电压放大器的输入阻抗一般比较高,通常在几千欧到几十千欧,如果后级的输出阻抗比较小,那么信号就会有相当的部分损耗在前级的输出电阻中。在这个时候,就需要电压跟随器来从中进行缓冲。起到承上启下的作用。应用电压跟随器的另外一个好处就是,提高了输入阻抗,这样,输入电容的容量可以大幅度减小,为应用高品质的电容提供了前提保证]1[。 仿真图: 黄色信号(下)为输入信号。 蓝色信号(上)为输出信号。 由图中可以看出,输入输出信号基本相等。 2.3:放大电路的设计 传感器输出为微弱信号,需进行放大后才便于后续电路的处理。考虑到后续电路中滤波器电路也具有信号放大的功能,所以放大器的放大倍数不宜过大,初 步选择为660倍。设计电路的原理如下:

相关文档
最新文档