PWM控制电机转速设计

PWM控制电机转速设计
PWM控制电机转速设计

目录

目录......................................................................................................... - 1 -

一、前言 .................................................................................................. - 2 -

二、设计任务 ............................................................................................ - 2 -

三、设计原理 .......................................................................................... - 2 -

3.1 电机调速原理 ..................................................................................... - 2 - 3.2 H 桥功率驱动原理 .......................................................................... - 3 - 3.3 IR2111外围电路及器件选择 .......................................................... - 4 -

3.4 IR2111死区延迟特性 ...................................................................... - 4 -

四、方案与论证 ...................................................................................... - 4 -

五、设计内容 .......................................................................................... - 5 -

5.1 系统框图和设计原理图 ..................................................................... - 5 - 5.2 软件设计流程图 ................................................................................. - 7 - 8.1 PWM波形在线仿真效果图............................................................... - 8 - 8.2 PWM驱动电机调速PCB图............................................................. - 9 - 8.3 PWM驱动电机调速程序................................................................. - 10 -

一、前言

长期以来,直流电机以其良好的线性特性、优异的控制性能等特点成为大多数变速运动控制和闭环位置伺服控制系统的最佳选择。特别随着计算机在控制领域, 高开关频率、全控型第二代电力半导体器件(GTR、GTO、MOSFET、IGBT 等)的发展, 以及脉宽调制(PWM)直流调速技术的应用, 直流电机得到广泛应用。为适应小型直流电机的使用需求, 各半导体厂商推出了直流电机控制专用集成电路, 构成基于微处理器控制的直流电机伺服系统。但是,专用集成电路构成的直流电机驱动器的输出功率有限, 不适合大功率直流电机驱动需求。因此采用N沟道增强型场效应管构建H 桥, 实现大功率直流电机驱动控制。该驱动电路能够满足各种类型直流电机需求, 并具有快速、精确、高效、低功耗等特点, 可直接与微处理器接口, 可应用PWM技术实现直流电机调速控制。

二、设计任务

1. 设计电机驱动电路,使用PROTEL绘制出电路原理图和PCB,并制作出实物,焊接并调试,确保硬件无误。

2. 使用LM3S8962实验板配合自己设计的电机驱动模块,编写程序驱动直流电机。要求具备直流电机调试、正反转、其中,电机正反转状态和当前的转速(档位)通过LM3S8962实验板上的液晶模块(LCD1602)显示。

3. 撰写设计报告,要求具备摘要、软硬件设计方案、系统框图、程序流程图、总结等相关内容。

三、设计原理

3.1 电机调速原理

直流电机PWM调速的基本原理图如图1。可控开关S以固定的周期重复地接通和断开,当开关S接通时,直流供电电源U通过开关S施加到直流电机两端,电机在电源作用下转动,同时电机电枢电感储存能量;当开关S断开时,供电电源停止向电动机提供能量,但此时电枢电感所储存的能量将通过续流二极管VD使电机电枢电流继续维持,电枢电流仍然产生电磁转矩使得电机继续旋转。开关S重复动作时,在电机电枢两端就形成了一系列的电压脉冲波形,如图3-1所示。

电枢电压平均值的理论计算式为:

其中:α为占空比,即导通时间与脉冲周期之比。

由式(1)可知,平均电压由占空比及电源电压决定,保持开关频率恒定,改变占空比能够相应地改变平均电压,从而实现了直流电动机的调压调速。

图3-1 电机调速原理图

3.2 H 桥功率驱动原理

直流电机驱动使用最广泛的就是H 型全桥式电路, 这种驱动电路方便地实现直流电机的四象限运行, 分别对应正转、正转制动、反转、反转制动。H桥功率驱动原理图如图3-2 所示。

图3-2 H 桥功率驱动原理图

H 型全桥式驱动电路的4 只开关管都工作在斩波状态, S1、S2 为一组, S3、S4 为一组, 这两组状态互补, 当一组导通时, 另一组必须关断。当S1、S2 导通时, S3、S4 关断, 电机两端加正向电压实现电机的正转或反转制动; 当S3、S4 导通时, S1、S2 关断,电机两端为反向电压, 电机反转或正转制动。实际控制中, 需要不断地使电机在四个象限之间切换, 即在正转和反转之间切换, 也就是在S1、S2导通且S3、S4 关断到S1、S2 关断且S3、S4 导通这两种状态间转换。这种情况理论上要求两组控制信号完全互补, 但是由于实际的开关器件都存在导通和关断时间, 绝对的互补控制逻辑会导致上下桥臂直通短路。为了避免直通短路且保证各个开关管动作的协同性和同步性, 两组控制信号理论上要求互为倒相, 而实际必须相差一个足够长的死区时间,这个校正过程既可通过硬件实现, 即在上下桥臂的两组控制信号之间增加延时, 也可通过软件实现。图3-2中、4只开关管为续流二极管, 可为线圈绕组提供续流回路。当电机正常运行时, 驱动电流通过主开关管流过电机。当电机处于制动状态时, 电机工作在发电状态, 转子电流必须通过续流二极管流通, 否则电机就会发热, 严重时甚至烧毁。

3.3 IR2111外围电路及器件选择

IR2111外围电路如下图3-3所示。单片机输出的PWM信号经光耦PC817后,输出至IR2111输入端,此处的光耦对PWM信号起到隔离、电平转换和功率放大的作用。图5中,R1、R2为光耦上拉电阻,其值根据所用光耦的输入和输出的电流参数决定;C1为电源滤波电容,C2为自举电容,D1为自举二极管,R3、R4为栅极驱动电阻。

图3-3 IR2111驱动功率MOSFET电路

3.4 IR2111死区延迟特性

实际控制中,需要不断地使电机在正转和反转之间切换,也就是在Q1、Q4导通且Q2、Q3关断到Q1、Q4关断且Q2、Q3导通这两种状态间转换。这种情况理论上要求两组控制信号完全互补,但是由于实际的开关器件都存在导通和关断时间,绝对的互补控制逻辑会导致上下桥臂直通短路。为了避免直通短路且保证各个开关管动作的协同性和同步性,两组控制信号理论上要求互为倒相,而实际必须相差一个足够长的死区时间,即保证在某一功率器件导通的同时,同桥臂的功率器件可靠的截止,防止桥臂直通短路。IR2111内部通过逻辑门器件实现了死区时间的延迟,即由IR2111高端和低端输出的波形如图3-4所示。其中,DT即为定义的死区时间,IR2111典型死区时间是700ns。

图3-4 IR2111死区延时特性

四、方案与论证

该程序中一共七个模块:

模块一:主程序;

模块二:按键中断服务子程序;

模块三:显示子程序;用LCD来进行显示;

模块三_1:LCD显示初始化头文件(h文件);

模块三_2:显示电机正反转(用D来表示)子程序;

模块三_3:显示电机转速的的当前档位(用S来表示)子程序;

模块四:KEY1(PC4)键设置电机正反转;

模块五:KEY2(PC5)键,控制电机2档(高速)的调节;

模块六:KEY3(PC6)键,控制电机1档(低速)的调节;

模块七:KEY4(PC7)键,控制电机0档(制动)的调节;

五、设计内容

5.1 系统框图和设计原理图

5.1.1 设计框图:

LM3S8962

显示电路按

半桥驱动电路

(IR2111板桥

驱动)

H桥驱动电机

电路(大功率

管MOSFET)

半桥驱

动电源

H桥驱动

(电机)电源P

W

M

5.1.2 设计原理图:

图5-1 H桥驱动电机电路图

图5-2 半桥驱动电路图

5.2 软件设计流程图

六、总结

电子设计是培养学生综合运用所学知识,发现、提出、分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程。

随着科学技术发展的日新日异,电子产品已在我们的生活中扮演着越来越重要的作用,然而,要让这些功能复杂的电子产品给我们带来帮助,直流电机的应用是日常生活和工业运用不可或缺的。回顾起此次直流电机的课程设计,我仍感慨颇多。从选题到定稿,从理论到实践,在接近二星期的日子里,可以说得是苦多于甜,但是可以学到很多很多的的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正提高自己的实际动手能力和独立思考的能力。

开 始

系统时钟初始化 PWM 使 能PWM 配 置

PWM 发 生 器 使 能 按 键 端 口 使 能 按 键 中 断 初 始 化

处 理 器 中 断 使 能

按 键 中 断 子 程 序 LCD 显 示 子 程 序 主 函 数

结 束

开 始 变量值变化 进入中断处理子程序

进入相应的子程序处理

是否有按键

Y

N

在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

总之,这次嵌入式的课程设计可以说是一场“及时雨”,通过发现问题、面对问题和解决问题的过程让我的实践能力得到了提升。在这次的直流直流电机的设计中,碰到过许多困难的时候,龚老师给了我非常大的帮助,他交给了我们很多课堂上学不到的东西,非常感谢龚老师的帮助。

七、参考文献

[1] 孙立志.PWM与数字化电动机控制技术应用[M].北京:中国电力出版社,2008.

[2] 徐德鸿.现代电力电子器件原理与应用技术[M].北京:机械工业出版社,2008.

[3] 周志敏,周纪海,纪爱华.IGBT和IPM及其应用电路[M].北京:人民邮电出版社,2006.

[4] 袁涛.H桥功率驱动电路的设计研究[D].电子科技大学,2006.

[5] 宋健,姜军生,赵文亮等.基于单片机的直流电动机PWM调速系统[J].农机化

研究,2006,1(1):102-103.

[6] 梁亦铂,王正茂,何涛.全数字直流电机调速系统的原理及数学模型[J].中小

型电机,2001,28(6)

八、附录

8.1 PWM波形在线仿真效果图

图8-1 电机正转低速PWM仿真图

图8-2 电机正转高速PWM仿真图

图8-3 电机反转低速PWM仿真图

图8-4 电机反转高速PWM仿真图8.2 PWM驱动电机调速PCB图

图8-5 H桥驱动直流电机PCB图

图8-6 板桥驱动电路PCB图

8.3 PWM驱动电机调速程序

8.3.1 PWM控制电机调速C文件

#include

unsigned long TheSysClock=12000000UL;

#include"lcdinit.h"

#define PB0_PWM2 GPIO_PIN_0 //PWM2、产生正转信号

#define PB1_PWM3 GPIO_PIN_1 //PWM3、产生反转信号

#define KEY_PERIPH SYSCTL_PERIPH_GPIOC

#define KEY_PORT GPIO_PORTC_BASE

#define KEY1_PIN GPIO_PIN_4 //正反转切换

#define KEY2_PIN GPIO_PIN_5 //2档

#define KEY3_PIN GPIO_PIN_6 //1档

#define KEY4_PIN GPIO_PIN_7 //制动

unsigned int flag,value,D,S;

/*****************************************************************************/ void clockInit(void)

{

SysCtlLDOSet(SYSCTL_LDO_2_50V); // 设置LDO输出电压SysCtlClockSet(SYSCTL_USE_OSC | // 系统时钟设置

SYSCTL_OSC_MAIN | // 采用主振荡器

SYSCTL_XTAL_6MHZ | // 外接6MHz晶振

SYSCTL_SYSDIV_1); // 不分频TheSysClock = SysCtlClockGet(); // 获取当前的系统时钟频率}

void GPIO_Port_C_ISR(void)

{

unsigned long ulStatus;

ulStatus = GPIOPinIntStatus(GPIO_PORTC_BASE, true); // 读取中断状态

GPIOPinIntClear(GPIO_PORTC_BASE, ulStatus); // 清除中断状态,重要if (ulStatus & KEY1_PIN) // 如果PC4的中断状态有效

{ SysCtlDelay(200); //消除按键抖动

flag=~flag;

}

if (ulStatus & KEY2_PIN) // 如果PC5的中断状态有效

{ SysCtlDelay(200);

value=50;

S=2; //S=2表示高速

}

if (ulStatus & KEY3_PIN) // 如果PC6的中断状态有效

{ SysCtlDelay(200);

value=200;

S=1; //S=1表示低速

}

if (ulStatus & KEY4_PIN) // 如果PC7的中断状态有效

{ SysCtlDelay(200);

value=300;

S=0; //S=0表示制动

}

}

/***************************************************************************/ void lcd_disp()

{ lcd_pos(0,0);

lcd_strwdat("Direct Is:"); //第一行显示正反转

lcd_pos(0,14);

lcd_write(1,D); //LCD写数据

lcd_pos(1,0);

lcd_strwdat("Now Speed Is:");//第二行显示转速的档位

lcd_pos(1,14);

lcd_write(1,S); //LCD写数据

}

void flag_1() //反转

{ PWMOutputState(PWM_BASE, PWM_OUT_3_BIT, true);

PWMOutputState(PWM_BASE, PWM_OUT_2_BIT, false); //使能或禁止PWM的输出PWMGenPeriodSet(PWM_BASE, PWM_GEN_1, 300); // 设置PWM发生器1的周期

PWMPulseWidthSet(PWM_BASE, PWM_OUT_3, value); // 设置PWM2输出的脉冲宽度

D=0; //D=0表示反转

}

void flag_0()

{ PWMOutputState(PWM_BASE, PWM_OUT_2_BIT, true); //正转

PWMOutputState(PWM_BASE, PWM_OUT_3_BIT, false);

PWMGenPeriodSet(PWM_BASE, PWM_GEN_1, 300); // 设置PWM发生器1的周期

PWMPulseWidthSet(PWM_BASE, PWM_OUT_2, value); // 设置PWM3输出的脉冲宽度

D=1; //D=1表示正转

}

/***************************************************************************/

int main (void)

{

clockInit();

lcd_init();

SysCtlPeripheralEnable (KEY_PERIPH); // 使能KEY所在的GPIO端口

GPIOPinTypeGPIOInput(KEY_PORT, KEY1_PIN|KEY2_PIN|KEY3_PIN|KEY4_PIN);

// 设置key1、key2、key3、key4为输入GPIOIntTypeSet(KEY_PORT, KEY1_PIN|KEY2_PIN|KEY3_PIN|KEY4_PIN,

GPIO_LOW_LEVEL);

// 设置KEY1、KEY2、KEY3、KEY4管脚的中断类型

GPIOPinIntEnable(KEY_PORT, KEY1_PIN|KEY2_PIN|KEY3_PIN|KEY4_PIN);

// 使能KEY1、KEY2、KEY3、KEY4所在管脚的中断

IntEnable(INT_GPIOC); // 使能GPIOC端口中断

SysCtlPeripheralEnable(SYSCTL_PERIPH_GPIOB); // 使能PWM2和PWM3输出所在GPIO

SysCtlPeripheralEnable(SYSCTL_PERIPH_PWM); // 使能PWM模块

SysCtlPWMClockSet(SYSCTL_PWMDIV_1); // PWM时钟配置:不分频GPIOPinTypePWM(GPIO_PORTB_BASE, PB0_PWM2); // PB0配置为PWM功能GPIOPinTypePWM(GPIO_PORTB_BASE, PB1_PWM3); // PB1配置为PWM功能PWMGenConfigure(PWM_BASE, PWM_GEN_1, // 配置PWM发生器1:加减计数

PWM_GEN_MODE_UP_DOWN |

PWM_GEN_MODE_NO_SYNC);

PWMOutputState(PWM_BASE, PWM_OUT_2_BIT, true); //初始化时flag=0、即电机初始化时是正转

PWMOutputState(PWM_BASE, PWM_OUT_3_BIT, false);

PWMGenPeriodSet(PWM_BASE, PWM_GEN_1, 300); // 设置PWM发生器1的周期

PWMPulseWidthSet(PWM_BASE, PWM_OUT_2, value); // 设置PWM3输出的脉冲宽度

PWMGenEnable(PWM_BASE, PWM_GEN_1); // 使能PWM发生器1 IntMasterEnable( ); // 使能处理器中断

while(1)

{ SysCtlDelay(200);

if(flag)

{ flag_1();

lcd_disp();

}

else

{ flag_0();

lcd_disp();

}

}

}

/*****************************************************************************/

8.3.1 PWM控制电机调速LCD初始化头文件

#define LCD_1602_DATA GPIO_PORTD_BASE //数据口

#define LCD_1602_PORT GPIO_PORTE_BASE //控制口

#define LCD_1602_RS GPIO_PIN_0

#define LCD_1602_RW GPIO_PIN_1

#define LCD_1602_EN GPIO_PIN_2

void delay(unsigned long ulVal)

{

ulVal=TheSysClock / 4000;

while ( --ulVal != 0 );

}

unsigned char LCD_check_busy(void) //定义LCD_check_busy()返回值是字节

{

unsigned char result=0; // 测试LCD忙碌状态

SysCtlDelay(200);

return result; //返回检测信号

}

//向LCD写入数据或者命令CD=0写入命令,CD=1写入数据

void lcd_write(unsigned char cd,unsigned char temp) // 写入指令/数据到LCD 0 {

while(LCD_check_busy());

//LCD_check_busy();

if(cd) //当写数据时使RS=1,当为写指令时RS=0

{ GPIOPinWrite(LCD_1602_PORT, LCD_1602_RS, 0x01);}

else

{ GPIOPinWrite(LCD_1602_PORT, LCD_1602_RS, 0x00);} //写命令

GPIOPinWrite(LCD_1602_PORT, LCD_1602_RW, 0x00);

GPIOPinWrite(LCD_1602_PORT, LCD_1602_EN, 0x00);

GPIOPinWrite(LCD_1602_DATA,0xFF,temp); //送数据到LCD

GPIOPinWrite(LCD_1602_PORT, LCD_1602_EN, 0x04);

delay(2); //此延时必须要,不然不能显示

GPIOPinWrite(LCD_1602_PORT, LCD_1602_EN, 0x00);

}

//设定显示位置

void lcd_pos(unsigned char x,unsigned char y)

{

if(y<16)

{

if(x==0)

y=0x80+y;

else

y=0xc0+y;

lcd_write(0,y); //写地址

}

}

//LCD初始化设定

void lcd_init(void)

{

SysCtlPeripheralEnable(SYSCTL_PERIPH_GPIOE); //使能控制口SysCtlPeripheralEnable(SYSCTL_PERIPH_GPIOD); //使能数据口GPIOPinTypeGPIOOutput(LCD_1602_PORT ,

LCD_1602_RS|LCD_1602_RW|LCD_1602_EN); //设置控制口为输出GPIOPinTypeGPIOOutput(LCD_1602_DATA , 0XFF);

//设置数据口为输出

lcd_write(0,0x38); //写三个0x38是初始化要求

delay(1);

lcd_write(0,0x38);

delay(1);

lcd_write(0,0x38);

delay(1);

lcd_write(0,0x38); // 八位数据数、双行显示、5X7点阵字型体

delay(1);

lcd_write(0,0x0C); // 显示开、关光标

lcd_write(0,0x06); // 数据读、写操作后,AC自动增一

lcd_write(0,0x01); //清除LCD的显示内容

}

//写入字符串显示数据到LCD

void lcd_strwdat(unsigned char *str )

{

while(*str!='\0')

{

lcd_write(1,*str);

str++;

}

}

/*****************************************************************************/

基于单片机的电机测速及显示课程设计

单片机创新设计报告 设计题目:基于单片机的电机测速及显示学院:机电工程学院 专业:测控技术与仪器 班级学号:071 姓名:董新彬 同组人员:李爽、朱浩波 指导教师:王军冯梅林 设计时间:2010、10、10--2010、10、30

单片机简介 (3) 1.1单片机历史 (3) 1.2 AT89C51的主要特性 (4) 1.3管脚说明 (5) 1.4振荡器特性 (7) 1.5芯片擦除 (8) 二、硬件电路的设计 (8) 2.1 AT89C51下载器部分 (8) 2.2电机驱动部分 (11) 三、程序设计 (16) 3.1 下载器程序 (16) 3.2电机测速程序 (25) 四、总结 (39) 五、参考文献 (40)

单片机简介 单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机了解计算机原理与结构的最佳选择。 1.1单片机历史 1)第一阶段(1976-1978):单片机的控索阶段。以Intel公司的MCS – 48为代表。MCS – 48的推出是在工控领域的控索,参与这一控索的公司还有Motorola 、Zilog等,都取得了满意的效果。这就是SCM的诞生年代,“单机片”一词即由此而来。 2)第二阶段(1978-1982)单片机的完善阶段。Intel公司在MCS – 48 基础上推出了完善的、典型的单片机系列MCS –51。它在以下几个方面奠定了典型的通用总线型单片机体系结构。 ①完善的外部总线。MCS-51设置了经典的8位单片机的总线结构,包括8位数据总线、16位地址总线、控制总线及具有很多机通信功能的串行通信接口。 ②CPU外围功能单元的集中管理模式。 ③体现工控特性的位地址空间及位操作方式。 ④指令系统趋于丰富和完善,并且增加了许多突出控制功能的指令。

电动机正反转实验报告

实验一三相异步电动机的正反转控制线路 一、实验目的 1、掌握三相异步电动机正反转的原理和方法。 2、掌握手动控制正反转控制、接触器联锁正反转、按钮联锁正反转控制线路的不同接法。 二、实验设备 三相鼠笼异步电动机、继电接触控制挂箱等 三、实验方法 1、接触器联锁正反转控制线路 (1) 按下“关”按钮切断交流电源,按下图接线。经指导老师检查无误后,按下“开”按钮通电操作。 (2) 合上电源开关Q1,接通220V三相交流电源。 (3) 按下SB1,观察并记录电动机M的转向、接触器自锁和联锁触点的吸断情况。 (4) 按下SB3,观察并记录M运转状态、接触器各触点的吸断情况。 (5) 再按下SB2,观察并记录M的转向、接触器自锁和联锁触点的吸断情况。 Q1 23 220V

图1 接触器联锁正反转控制线路 3、按钮联锁正反转控制线路 (1)按下“关”按钮切断交流电源。按图2接线。经检查无误后,按下“开”按钮通电操作。 (2) 合上电源开关Q 1,接通220V 三相交流电源。 (3) 按下SB 1,观察并记录电动机M 的转向、各触点的吸断情况。 (4) 按下SB 3,观察并记录电动机M 的转向、各触点的吸断情况。 (5) 按下SB 2,观察并记录电动机M 的转向、各触点的吸断情况。 Q 1 220V

图2 按钮联锁正反转控制线路 四、分析题 1、接触器和按钮的联锁触点在继电接触控制中起到什么作用? 实验二交流电机变频调速控制系统 一﹑实验目的 1.掌握交流变频调速系统的组成及基本原理; 2.掌握变频器常用控制参数的设定方法; 3. 掌握由变频器控制交流电机多段速度及正反向运转的方法。 二﹑实验设备 1.变频器;2. 交流电机。 三、实验方法 (一)注意事项 参考变频器的端子接线图,完成变频器和交流电机的接线。主要使用端子为R﹑S ﹑T;U﹑V﹑W;PLC﹑FWD﹑REV﹑BX﹑RST﹑X1﹑X2﹑X3﹑X4﹑CM。 变频器电源输入端R﹑S﹑T和电源输出端U﹑V﹑W均AC380V高电压﹑大电流信号,任何操作都必须在关掉总电源以后才能进行。

课程设计实验报告-直流电机测速 (1)

直流电机测速 摘要 设计一种直流电机调速系统,以STC89C52 为控制核心,通过ULN2003 驱动电机,使用ST151 测量转速,实现了按键输入、电机驱动、转速控制、转速显示等功能。 关键词:直流电机, 80C51, ULN2003, 转速控制

第一章题目描述直流小电机调速系统: 采用单片机、ul n2003 为主要器件,设计直流电机调速系统,实现电机速度开环可调。 要求:1、电机速度分30r /m、60r /m、100r /m共3 档;2、通过按选择速度; 3、检测并显示各档速度。所需器件: 实验板(中号)、直流电机、STC89C52、电容(30pFⅹ2、10uF ⅹ2)、数码管(共阳、四位一体)、晶振(12M H z )、小按键(4 个)、ST151、电阻、发光二极管等。 第二章方案论述按照题给要求,我们最终设计了如下的解决方案:用户通过 键盘键入控制指令(开关),微控制器在收到指令 后改变输出的 PW M波,最终在 U LN2003 的驱动下电机转速发生改

变。通过 ST151 传感器测量电机扇叶的旋转情况,将转速显示在数码管上。 在程序主循环中实现按键扫描与转速显示,将定时器0 作为计数器,计数ST151 产生的下降沿,可算出转速,并送至数码管显示。 第三章硬件部分 设计 系统硬件部分包含输入模块、显示模块、控制模块、测速模块等。在硬件搭建前,先通过Pr ot eus Pr o 7. 5 进行硬件仿真实现。 1. 时钟电路 系统采用12M 晶振与两个30pF 电容组成震荡电路,接STC89C52 的 XTAL1 与 XTAL2 引脚,为微控制器提供时钟源 2. 按键电路

基于STM32的直流电机PWM调速控制

电动摩托车控制器中的电机PWM调速 摘要:随着“低碳”社会理念的深入,新型的电动摩托车发展迅速,逐渐成为人们主要的代步工具之一,由于直流无刷电机的种种优点,在电动摩托车中也得到了广泛应用,因此,本文控制部分主要介绍一种基于STM32F103芯片的新型直流无刷电机调速控制系统,这里主要通过PWM技术来进行电机的调速控制,且运行稳定,安全可靠,成本低,具有深远的意义。 1.总体设计概述 1.1 直流无刷电机及工作原理 直流无刷电机(简称BLDCM),由于利用电子换向取代了传统的机械电刷和换向器,使得其电磁性能可靠,结构简单,易于维护,既保持了直流电机的优点又避免了直流电机因电刷而引起的缺陷,因此,被广泛应用。另外,由于直流无刷电机专用控制芯片价格昂贵,本文介绍了一种基于STM32的新型直流无刷电机控制系统,既可降低直流无刷电机的应用成本,又弥补了专用处理器功能单一的缺点,具有重要的现实意义和发展前景。 工作原理:直流无刷电机是同步电机的一种,其转子为永磁体,而定子则为三个按照星形连接方式连接起来的线圈,根据同步电机的原理,如果电子线圈产生一个旋转的磁场,则永磁体的转子也会随着这个磁场转动因此,驱动直流无刷电机的根本是产生旋转的磁场,而这个旋转的磁场可以通过调整A、B、C三相的电流来实现,其需要的电流如图1所示 随着我国经济和文化事业的发展,在很多场合,都要求有直流电机PWM调速系统来进行调速,诸如汽车行业中的各种风扇、刮水器、喷水泵、熄火器、反视镜、宾馆中的自动门、自动门锁、自动窗帘、自动给水系统、柔巾机、导弹、火炮、人造卫星、宇宙飞船、舰艇、飞机、坦克、火箭、雷达、战车等场合。 1.2 总体设计方案 总体设计方案的硬件部分详细框图如图1所示。

PWM电机调速原理及51单片机PWM程序经典

Pwm电机调速原理 对于电机的转速调整,我们是采用脉宽调制(PWM)办法,控制电机的时候,电源并非连续地向电机供电,而是在一个特定的频率下以方波脉冲的形式提供电能。不同占空比的方波信号能对电机起到调速作用,这是因为电机实际上是一个大电感,它有阻碍输入电流和电压突变的能力,因此脉冲输入信号被平均分配到作用时间上,这样,改变在始能端PE2 和PD5 上输入方波的占空比就能改变加在电机两端的电压大小,从而改变了转速。 此电路中用微处理机来实现脉宽调制,通常的方法有两种: (1)用软件方式来实现,即通过执行软件延时循环程序交替改变端口某个二进制位输出逻 辑状态来产生脉宽调制信号,设置不同的延时时间得到不同的占空比。 (2)硬件实验自动产生PWM 信号,不占用CPU 处理的时间。 这就要用到ATMEGA8515L 的在PWM 模式下的计数器1,具体内容可参考相关书籍。 51单片机PWM程序 产生两个PWM,要求两个PWM波形占空都为80/256,两个波形之间要错开,不能同时为高电平!高电平之间相差48/256, PWM这个功能在PIC单片机上就有,但是如果你就要用51单片机的话,也是可以的,但是比较的麻烦.可以用定时器T0来控制频率,定时器T1来控制占空比:大致的的编程思路是这样的:T0定时器中断是让一个I0口输出高电平,在这个定时器T0的中断当中起动定时器T1,而这个T1是让IO口输出低电平,这样改变定时器T0的初值就可以改变频率,改变定时器T1的初值就可以改变占空比。 *程序思路说明: * * * *关于频率和占空比的确定,对于12M晶振,假定PWM输出频率为1KHZ,这样定时中断次数* *设定为C=10,即0.01MS中断一次,则TH0=FF,TL0=F6;由于设定中断时间为0.01ms,这样* *可以设定占空比可从1-100变化。即0.01ms*100=1ms * ******************************************************************************/ #include #define uchar unsigned char /*****************************************************************************

实验一 电动机正反转实验

实验一电动机正反转实验 一、实验目的 1、通过练习实现与、或、非逻辑功能,熟悉PLC编程方法。 2、熟悉ZY17PLC12BC实验箱的使用方法。 二、实验器材 1、ZY17PLC12BC型可编程控制器实验箱 1台 2、PC机或FX-20P-E编程器 1台 3、编程电缆 1根 4、连接导线若干 三、实验原理 (1)LD、LDI指令用于将触点接到母线上。另外,与后述的ANB指令组合,在分支起点处也可使用。 (2)OUT指令是对输出继电器、辅助继电器、状态继电器、定时器,计数器的线圈的驱动指令、对于输入继电器不能使用。 (3)并行输出指令可多次使用。 2、触点串联(AND/ANI) 说明: (1)用AND、ANI、指令,可进行触点的串联连接。串联触点的个数没有限制,该指令可以多次重复使用。 (2)OUT指令后,通过触点对其他线圈使用OUT指令称之为纵接输出。这种纵接输出,如果顺序不错,可以多次重复,

3、触点并联(OR/ORI) (1)OR、ORI用作为1个触点的并联连接指令。如果连接2个以上的触点串联连接的电路块的并联连接时,要用后述的ORB指令。 (2)OR、ORI指令是从该指令的当前步开始对前面的LD、LDI指令并联连接。并联连接的次数无限制,但由于编程器和打印机的功能对此有限制,所以并联连接的次数实际上是有限制的。 (1)两个以上的触点串联连接的电路称之为串联电路块。串联电路块并联连接时,分支的开始用LD、LDI指令,分支的结束用ORB指令。 (2)ORB指令与后述的ANB等均为无操作元件号的指令。 (1)分支电路并联电路块与前面电路串联连接时,使用ANB指令。分支的起点用LD、LDI指令。并联电路块结束后,使用ANB指令与前面电路串联。 (2)若多个并联电路块顺次用ANB指令与前面电路串联连接,则ANB的使用次数没有限制, (3)虽然可以连续使用ANB指令,但这时与ORB指令同样要注意LD、LDI指令的使用次数限制(8次以下)。 6、程序结束(END) 7、控制要求 本实验利用PLC控制电机正反转。发光二极管KM1亮模拟电机正转,发光二极管KM2

电机测速系统课程设计报告

课程设计报告 课程名称微机控制技术 设计题目电机测速系统 专业班级自动化0741 姓名 学号 指导教师 起止时间2010.12.27~2011.01.07 电气与信息学院

课程设计考核和成绩评定办法 1.课程设计的考核由指导教师根据设计表现、设计报告、设计成果、答辩等几个方面,给出各项权重,综合评定。该设计考核教研室主任审核,主管院长审批备案。2.成绩评定采用五级分制,即优、良、中、及格、不及格。 3.参加本次设计时间不足三分之二或旷课四天以上者,不得参加本次考核,按不及格处理。 4.课程设计结束一周内,指导教师提交成绩和设计总结。 5.设计过程考核和成绩在教师手册中有记载。 课程设计报告内容 课程设计报告内容、格式各专业根据专业不同统一规范,经教研室主任审核、主管院长审批备案。 注:1. 课程设计任务书和指导书在课程设计前发给学生,设计任务书放置在设计报告封面后和正文目录前。 2. 为了节省纸张,保护环境,便于保管实习报告,统一采用A4纸,实习报告建议双面打印(正文 采用宋体五号字)或手写。

摘要 现代工业现场和生活中多应用电机测速系统,所以对其了解及进一步研究很是必要。本次设计给我们提供了这样的一个机会。 设计测速电动机系统,实现按键能设定4个电机转动速度,PLC和上位机组态软件连接,PLC通过控制变频器输出不同频率三相电使电机转动起来,然后通过旋转编码器测量电机速度,旋转编码器输出接PLC高速计数输入通道,计算当前电机转速,并在上位机组态软件中上显示出来。 关键词 PLC 电动机旋转编码器变频器 Abstract: Motor speed system is applied to the modern industrial field and in life,So understanding and further study of it is very necessary. The design provides us with such a chance. Designing motor speed system which realize buttons which can set up four motor rotation speed,PLC can connect to upper unit configuration software,PLC control inverter which can export different frequencies,its exporting can make motor turn,then Rotary encoder measure motor’s speed through the revolving ,Rotary encoder’s outputing connect to PLC high-speed counting input,while it calculates the motor speed and in the upper unit configuration software displayed. Keywords: plc electric motor Rotary encoder inverter

直流电机PWM调速

直流电机转速的PWM控制测速 王鹏辉 姬玉燕

摘要 本设计采用PWM的控制原理来完成对直流电机的正转、反转以及其加速、减速过程的控制,在此过程中是通过单片机的定时器加上中断的方式产生不同时长的高低电压脉冲信号来完成。并通过霍尔传感器对直流电机的转速进行测定,最后将实时测定的转速数值1602液晶屏上。 关键词: PWM控制直流电机霍尔传感器 1602液晶显示屏 L298驱动 一、设计目的: 了解直流电机工作原理,掌握用单片机来控制直流电机系统的硬件设计方法,熟悉直流电机驱动程序的设计与调试,能够熟练应用PWM方法来控制直流电机的正反转和加减速,提高单片机应用系统设计和调试水平。 1.1系统方案提出和论证 转速测量的方案选择,一般要考虑传感器的结构、安装以及测速范围与环境条件等方面的适用性;再就是二次仪表的要求,除了显示以外还有控制、通讯和远传方面的要求。本说明书中给出两种转速测量方案,经过我和伙伴查资料、构思和自己的设计,总体电路我们有两套设计方案,部分重要模块也考虑了其它设计方法,经过分析,从实现难度、熟悉程度、器件用量等方面综合考虑,我们才最终选择了一个方案。下面就看一下我们对两套设计方案的简要说明。 1.2 方案一:霍尔传感器测量方案 霍尔传感器是利用霍尔效应进行工作的?其核心元件是根据霍尔效应原理制成的霍尔元件。本文介绍一种泵驱动轴的转速采用霍尔转速传感器测量。霍尔转速传感器的结构

原理图如图3.1, 霍尔转速传感器的接线图如图3.2 。 传感器的定子上有2 个互相垂直的绕组A 和B, 在绕组的中心线上粘有霍尔片HA 和HB ,转子为永久磁钢,霍尔元件HA 和HB 的激励电机分别与绕组A 和B 相连,它们的霍尔电极串联后作为传感器的输出。 图3.1 霍尔转速传感器的结构原理图 方案霍尔转速传感器的接线图 缺点:采用霍尔传感器在信号采样的时候,会出现采样不精确,因为它是靠磁性感应才采集脉冲的,使用时间长了会出现磁性变小,影响脉冲的采样精度。 1.3方案二:光电传感器 整个测量系统的组成框图如图3.2所示。从图中可见,转子由一直流调速电机驱

PLC控制实验--变频器控制电机正反转

实验二十八变频器控制电机正反转 一、实验目的 了解变频器外部控制端子的功能,掌握外部运行模式下变频器的操作方法。二、实验设备 序号名称型号与规格数量备注 1 网络型可编程控制器高级实验装置THORM-D 1 2 实验挂箱CM51 1 3 电机WDJ26 1 4 实验导线3号/4号若干 5 通讯电缆USB 1 6 计算机 1 自备 三、控制要求 1.正确设置变频器输出的额定频率、额定电压、额定电流。 2.通过外部端子控制电机启动/停止、正转/反转。 3.运用操作面板改变电机启动的点动运行频率和加减速时间。 四、参数功能表及接线图 1.参数功能表 序号变频器参数出厂值设定值功能说明 1 n1.00 50.00 50.00 最高频率 2 n1.05 1.5 0.01 最低输出频率 3 n1.09 10.0 10.0 加速时间 4 n1.10 10.0 10.0 减速时间 5 n2.00 1 1 操作器频率指令旋钮有效 6 n2.01 0 1 控制回路端子(2线式或3线式) 7 n4.04 0 1 2线式(运转/停止(S1)、正转/反转(S2)) 注:(1)设置参数前先将变频器参数复位为工厂的缺省设定值(2)设定n0.02=0可设定及参照全部参数 2.变频器外部接线图 五、操作步骤

1.检查实验设备中器材是否齐全。 2.按照变频器外部接线图完成变频器的接线,认真检查,确保正确无误。 3.打开电源开关,按照参数功能表正确设置变频器参数。 4.打开开关“K1”,观察并记录电机的运转情况。 5.旋转操作面板频率设定旋钮,增加变频器输出频率。 6.关闭开关“K1”,变频器停止运行。 7.打开开关“K1”、“K2”,观察并记录电机的运转情况。 六、实验总结 1.总结使用变频器外部端子控制电机正反转的操作方法。 2.总结变频器外部端子的不同功能及使用方法。

电机测速设计

河南科技大学电子课程设计报告 题目:电动机测速器 专业班级: 姓名: 时间: 指导教师:

目录 摘要 (3) 1 引言 (3) 1.1 电动机转速测量现状及前景 (3) 1.2 研发意义 (3) 2 总体设计方案 (4) 2.1 设计思路 (4) 2.2 总体设计框图 (5) 3 设计原理分析 (5) 3.1 电源的选择 (5) 3.2转速测量原理 (5) 3.3开关型霍尔传感器介绍 (5) 3.4定时电路 (6) 3.5 控制电路 (7) 3.6计数器电路 (9) 3.7驱动显示电路 (11) 3.8总体电路 (11) 4 总结与体会 (12) 参考文献 (12)

电机测速器 摘要:本论文要设计一个用霍尔传感器来测量电动机的转速系统,并做出相应的仿真分析,画出原理图。为了知道电机的实际转速,需要实时监测电机轴的转速,该系统利用霍尔传感器采集脉冲信号,涉及到信号的采集,控制计数、译码、显示。论文所设计的系统用到的器件都是本专业电路中常见的器件,价格便宜,且其结构简单,原理易于掌握,但却能较精确测得电机的转速 关键词:霍尔传感器555触发器CD4511 4518 数码管 1引言 1.1电动机测速发展现状及前景 目前国内外对电动机的测速方法有很多,按照不同的理论方法,先后产生拟测速法(如离心式转速表、用电机转矩或者电机电枢电动势计算所得)、同步测速法(如机械式或闪光式频闪测速仪)以及计数测速法。计数测速法又可分为机械式定时计数法和电子式定时计数法。 传统的电机转速检测多采用测速发电机或光电数字脉冲编码器,也有采用电磁式(利用电磁感应原理或可变磁阻的霍尔元件等)、电容式(对高频振荡进行幅值调制或频率调制)等,还有一些特殊的测速器是利用置于旋转体内的放射性材料来发生脉冲信号.其中应用最广的是光电式,光电式测速系统具有低惯性、低噪声、高分辨率和高精度的优点。 由于电磁测量方法灵活多样,可测参数众多,一般情况下又具有非接触、高精度、高分辨率、高可靠性和反应快等优点,使得光电传感器在检测和制领域得到了广泛的应用。而采用光电传感器的电机转速测量系统测量准确度高、采样速度快、测量范围宽和测量精度与被测转速无关等优点,具有广阔的应用前景。 1.2 研发意义

电机PWM控制原理

PWM 电机驱动系统传导干扰机理分析 摘要:针对实际系统将电机系统的交流电源、整流环节、逆变环节、电机作为整体进行分析,为了分析方便将传导干扰分为共模干扰和差模干扰进行研究,分析了PWM电机驱动系统中存在的主要共模和差模干扰通道,由于传导干扰的路径和上下桥臂 IGBT的开通和关断有很大关系,因此分析了 IGBT不同的开关状态下的共模干扰和差模干扰的传播路径,三种不同的仿真结果得出一致的结论说明本文机理分析的正确性。 1.引言 由于PWM技术应用于电机驱动系统中,功率变换器采用MOSFET、IGBT、可关断晶闸管等开关器件。为了得到更好的电机系统控制性能指标,开关器件的工作频率就越来越高,在开关和关断的瞬间产生很大的电压和电流变化率,这就是强电磁干扰(EMI)产生的原因,远远超出了现在电磁兼容标准规定的答应值。产生的电磁干扰主要是以传导的形式进行传播的,机理分析是数学模型建立的基础,因此机理分析对于PWM电机驱动系统传导干扰的研究具有重要意义。 国内外有很多文献在这方面做了一定的研究,文献[1]针对IGBT的高du/dt 给电力电子装置带来的严重共模电磁干扰题目,深进分析了Buck电路的共模干扰。文献[2]以电路理论为基础,建立了单端正激式变换器中,由功率MOSFET的漏极与接地散热器之间寄生电容所形成的输进端共模干扰分析模型。这里就不逐一先容了,本文的机理分析将电机驱动系统作为一个整体来研究,这在文献中很少发现。

2.传导干扰机理分析 下面分三个部分来分析,首先先容所研究的实际系统的主电路,然后分析共模传导干扰的机理,最后分析差模干扰的机理。 2.1 PWM 驱动电机系统主电路 要研究的系统主电路原理图如图1 所示,现简单说明其工作原理。 三相交流电压经三相不可控整流桥整流产生直流电压Ud,经电容C 滤波后仍有微小的脉动,一般可近似以为其值不变。实际上Ud 上具有高频成分,由此产生了二极管上压降的波动。而二极管与散热片之间具有高频寄生电容,形成了共模电流流通的回路。后续章节会对其机理具体分析。直流电压经逆变器逆变后形成等效正弦波驱动感应电动机,逆变器采用正弦波脉宽调制(SPWM)技术。逆变器期看输出的波形为正弦波,以期看的正弦波作为调制波,以频率比调制波高得多的等腰三角波作为载波,当载波和调制波相交时,它们的交点作为逆变器开关

课程设计报告直流电机调速系统(单片机)

专业课程设计 题目三 直流电动机测速系统设计 院系: 专业班级: 小组成员: 指导教师: 日期:

前言 1.题目要求 设计题目:直流电动机测速系统设计 描述:利用单片机设计直流电机测速系统 具体要求:8051单片机作为主控制器、利用红外光传感器设计转速测量、检测直流电机速度,并显示。 元件:STC89C52、晶振(12MHz )、小按键、ST151、数码管以及电阻电容等 2.组内分工 (1)负责软件及仿真调试:主要由完成 (2)负责电路焊接: 主要由完成 (3)撰写报告:主要由完成 3.总体设计方案 总体设计方案的硬件部分详细框图如图一所示: 单片机 PWM 电机驱动 数码管显示 按键控制

一、转速测量方法 转速是指作圆周运动的物体在单位时间内所转过的圈数,其大小及变化往往意味着机器设备运转的正常与否,因此,转速测量一直是工业领域的一个重要问题。按照不同的理论方法,先后产生过模拟测速法(如离心式转速表) 、同步测速法(如机械式或闪光式频闪测速仪) 以及计数测速法。计数测速法又可分为机械式定时计数法和电子式定时计数法。本文介绍的采用单片机和光电传感器组成的高精度转速测量系统,其转速测量方法采用的就是电子式定时计数法。 对转速的测量实际上是对转子旋转引起的周期脉冲信号的频率进行测量。在频率的工程测量中,电子式定时计数测量频率的方法一般有三种: ①测频率法:在一定时间间隔t 内,计数被测信号的重复变化次数N ,则被测信号的频率fx 可表示为 f x =Nt(1) ②测周期法:在被测信号的一个周期内,计数时钟脉冲数m0 ,则被测信号频率fx = fc/ m0 ,其中, fc 为时钟脉冲信号频率。 ③多周期测频法:在被测信号m1 个周期内, 计数时钟脉冲数m2 ,从而得到被测信号频率fx ,则fx 可以表示为fx =m1 fcm2, m1 由测量准确度确定。 电子式定时计数法测量频率时, 其测量准确度主要由两项误差来决定: 一项是时基误差; 另一项是量化±1 误差。当时基误差小于量化±1 误差一个或两个数量级时,这时测量准确度主要由量化±1 误差来确定。对于测频率法,测量相对误差为: Er1 =测量误差值实际测量值×100 % =1N×100 % (2) 由此可见,被测信号频率越高, N 越大, Er1 就越小,所以测频率法适用于高频信号( 高转速信号) 的测量。对于测周期法,测量相对误差为: Er2 =测量误差值实际测量值×100 % =1m0×100 % (3) 对于给定的时钟脉冲fc , 当被测信号频率越低时,m0 越大, Er2 就越小,所以测周期法适用于低频信号( 低转速信号) 的测量。对于多周期测频法,测量相对误差为: Er3 =测量误差值实际测量值100%=1m2×100 % (4) 从上式可知,被测脉冲信号周期数m1 越大, m2 就越大,则测量精度就越高。

基于单片机的直流电机PWM调速控制系统的设计

基于单片机的直流电机PWM调速控制系统的设计 第一章:前言 1.1前言: 直流电机的定义:将直流电能转换成机械能(直流电动机)或将机械能转换成直流电能(直流发电机)的旋转电机。 近年来,随着科技的进步,直流电机得到了越来越广泛的应用,直流具有优良的调速特性,调速平滑,方便,调速范围广,过载能力强,能承受频繁的冲击负载,可实现频繁的无极快速起动、制动和反转,需要满足生产过程自动化系统各种不同的特殊要求,从而对直流电机提出了较高的要求,改变电枢回路电阻调速、改变电压调速等技术已远远不能满足现代科技的要求,这是通过PWM方式控制直流电机调速的方法就应运而生。 采取传统的调速系统主要有以下的缺陷:模拟电路容易随时间飘移,会产生一些不必要的热损耗,以及对噪声敏感等。而用PWM技术后,避免上述的缺点,实现了数字式控制模拟信号,可以大幅度减低成本和功耗。并且PWM调速系统开关频率较高,仅靠电枢电感的滤波作用就可以获得平滑的直流电流,低速特性好;同时,开关频率高,快响应特性好,动态抗干扰能力强,可获很宽的频带;开关元件只需工作在开关状态,主电路损耗小,装置的效率高,具有节约空间、经济好等特点。 随着我国经济和文化事业的发展,在很多场合,都要求有直流电机PWM调速系统来进行调速,诸如汽车行业中的各种风扇、刮水器、喷水泵、熄火器、反视镜、宾馆中的自动门、自动门锁、自动窗帘、自动给水系统、柔巾机、导弹、火炮、人造卫星、宇宙飞船、舰艇、飞机、坦克、火箭、雷达、战车等场合。 1.2本设计任务: 任务: 单片机为控制核心的直流电机PWM调速控制系统 设计的主要内容以及技术参数: 功能主要包括: 1)直流电机的正转; 2)直流电机的反转; 3)直流电机的加速; 4)直流电机的减速; 5)直流电机的转速在数码管上显示; 6)直流电机的启动; 7)直流电机的停止; 第二章:总体设计方案 总体设计方案的硬件部分详细框图如图一所示。

电机正反转实验

电机正反转实验 一.实验目的 1.了解机床电气中三相电机的正反转控制和星三角启动控制。 2.掌握电动机的常规控制电路设计。 3.了解电动机电路的实际接线。 4.掌握GE FANUC 3I系统的电动机启动程序编写。 二.实验原理和电路 交流电动机有正转启动和反转启动,而且正反转可以切换,启动时,要求电动机先接成星型连接,过几秒钟再变成三角形连接运行。PLC控制电动机的I/O 地址如下表所示: PLC模拟控制电动机I/O地址表 输入输出 器件(触摸屏M)说明器件说明I1(M21)正转Q2 正转 I2(M22)反转Q3 星形 I3(M23)停止Q4 三角形 Q5 反转 电动机星三角启动电气接口图:

模块的现场接线 接线前请熟悉接线图,我们在这里简单介绍下输入输出模块的接线方法,在接下来的实验中不再赘述。详细请见第一章的模块介绍。 ●输入模块现场接线 IC694MDL645,数字量输入模块,提供一组共用一个公共端的16个输入点,如图所示。该模块即可以接成共阴回路又可以接成共阳回路,这样在硬件接线时就非常灵巧方便。但在本系统中,我们统一规定本模块接成共阳回路,即1号端子由系统提供负电源,外部输入共阳。 IC694MDL645数字量输入模块现场接线 ●输出模块现场接线 IC694MDL754,数字输出模块,提供两组(每组16个)共32个输出点。每组

有一个共用的电源输出端。这种输出模块具有正逻辑特性;它向负载提供的源电流来自用户共用端或者到正电源总线。输出装置连接在负电源总线和输出点之间。这种模块的输出特性兼容很广的负载,例如:电动机、接触器、继电器,BCD 显示和指示灯。用户必须提供现场操作装置的电源。每个输出端用标有序号的发光二极管显示其工作状态(ON/OFF)。这个模块上没有熔断器。接线必须注意。 即:17端接正电源,18端接负电源及外部负载的共阴端。 IC694MDL754数字量输出模块现场接线 三:实验步骤: 1.编写PLC程序,可参照参考程序,并检查,保证其正确。 2.按照电器接口图接线。 3.下载程序。 4.置PLC于运行状态,按下启动键,观察电机运行。 5.实验结束后,关电源,整理实验器材。 四:实验器材 1.GE FANUC 3I系统一套 2.PYS3电机正反转模块一块 3.网线一根 4.KNT连接导线若干

计算机控制系统课程设计 直流电机测速调速系统

XI`AN TECHNOLOGICAL UNIVERSITY 课程名称直流电机测速调速实验 专业:电气工程及其自动化 班级: 姓名: 学号: 指导教师:秦刚 成绩: 2016 年 7 月 11日

计算机控制系统课程设计 ——直流电机测速调速系统 一、选定题目:电机速度控制系统 二、设计目的和要求: 计算机控制技术的课程设计是一个综合运用知识的过程,它不仅需要微型机控制理论、程序设计方面的基础知识,而且还需要具备一定的生产工艺知识。课程设计包括确定控制任务、系统总体方案设计、硬件系统设计、控制软件的设计等,以便使学生掌握计算机控制系统设计的总体思路和方法。 三、功能需求: 1、基本功能: (1)该系统使用实验箱的直流电机、1602液晶、DA、键盘等模块完成设计; (2)直流电机通过DA模块使用PWM方式进行驱动及调速; (3)能够通过1602液晶显示当前转速及PWM占空比; (4)通过按键控制电机的启动和停止。 2、扩展功能: (1)能够通过按键手动输入目标转速(转/秒),启动电机后控制电机稳定在目标转速; (2)使用1602液晶实时显示目标转速、当前转速及启停状态(on/off)。 四、实验思路: 本直流电机调速系统以单片机系统为依托,根据PWM调速的基本原理,控制电动机的转速为依据,实现对直流电动机的调速,并通过单片机控制速度的变化。本设计的直流电机调速系统主要是由硬件和软件两大部分组成。硬件部分是前提,是整个系统执行的基础,它主要为软件提供程序运行的平台。而软件部分,是对硬件端口所体现的信号,加以采集、分析、处理,最终实现控制器所要实现

的各项功能,达到控制器自动对电机速度的有效控制

直流电机PWM调速系统参考论文

毕业论文 基于51单片机的直流电机PWM调速控制系统设计 所在学院 专业名称 年级 学生姓名、学号 指导教师姓名、职称 完成日期

摘要 本文主要研究了利用MCS-51系列单片机控制PWM信号从而实现对直流电机转速进行控制的方法。本文中采用了三极管组成了PWM信号的驱动系统,并且对PWM信号的原理、产生方法以及如何通过软件编程对PWM信号占空比进行调节,从而控制其输入信号波形等均作了详细的阐述。另外,本系统中使用了霍尔元件对直流电机的转速进行测量,经过处理后,将测量值送到液晶显示出来。 关键词:PWM信号,霍尔元件,液晶显示,直流电动机 I

目录 目录 ................................................................................................................................ III 1 引言 (1) 1.1 课题背景 (1) 1.1.2 开发背景 (1) 1.1.3 选题意义 (2) 1.2 研究方法及调速原理 (2) 1.2.1 直流调速系统实现方式 (4) 1.2.2 控制程序的设计 (5) 2 系统硬件电路的设计 (6) 2.1 系统总体设计框图及单片机系统的设计 (6) 2.2 STC89C51单片机简介 (6) 2.2.1 STC89C51单片机的组成 (6) 2.2.2 CPU及部分部件的作用和功能 (7) 2.2.3 STC89C51单片机引脚图 (8) 2.2.4 STC89C51引脚功能 (8) 3 PWM信号发生电路设计 (11) 3.1 PWM的基本原理 (11) 3.2 系统的硬件电路设计与分析 (11) 3.3 H桥的驱动电路设计方案 (12) 5 主电路设计 (14) 5.1 单片机最小系统 (14) 5.2 液晶电路 (14) 5.2.1 LCD 1602功能介绍 (15) 5.2.2 LCD 1602性能参数 (16) 5.2.3 LCD 1602与单片机连接 (18) 5.2.4 LCD 1602的显示与控制命令 (19) 5.3 按键电路 (20) 5.4 霍尔元件电路 (21) III

单片机PWM控制直流电机的速度

用单片机控制直流电机的速度 直流调速器就是调节直流电动机速度的设备,上端和交流电源连接,下端和直流电动机连接,直流调速器将交流电转化成两路输出直流电源,一路输入给直流电机砺磁(定子),一路输入给直流电机电枢(转子),直流调速器通过控制电枢直流电压来调节直流电动机转速。同时直流电动机给调速器一个反馈电流,调速器根据反馈电流来判断直流电机的转速情况,必要时修正电枢电压输出,以此来再次调节电机的转速。 直流电机的调速方案一般有下列3种方式: ?1、改变电枢电压; ?2、改变激磁绕组电压; ?3、改变电枢回路电阻。 使用单片机来控制直流电机的变速,一般采用调节电枢电压的方式,通过单片机控制PWM1,PWM2,产生可变的脉冲,这样电机上的电压也为宽度可变的脉冲电压。根据公式 U=aVCC 其中:U为电枢电压;a为脉冲的占空比(0

电动机的电枢电压受单片机输出脉冲控制,实现了利用脉冲宽度调制技术(PWM)进行直流电机的变速。 因为在H桥电路中,只有PWM1与PWM2电平互为相反时电机才能驱动,也就是PWM1与PWM2同为高电平或同为低电平时,都不能工作,所以上图中的实际脉冲宽度为B, 我们把PWM波的周期定为1ms,占空比分100级可调(每级级差为10%),这样定时器T0每0.01ms产生一次定时中断,每100次后进入下一个PWM波的周期。上图中,占空比是60%,即输出脉冲的为0.6ms,断开脉冲为0.4ms,这样电枢电压为5*60%=3V。 我们讨论的是可以正转反转的,如果只按一个方向转,我们就只要把PWM1置为高电平或低电平,只改变另一个PWM2电平的脉冲变化即可,,如下图(Q4导通,Q3闭合,电机只能顺时针调整转动速度)

电机转速测量课程设计

目录: 1、摘要------------------------------------------------------------------------------------------------------3 2、系统结构----------------------------------------------------------------------------------------------3 3、获取脉冲信号的方法----------------------------------------------------------------------------4 3、1霍尔传感器-------------------------------------------------------------4 3、2 光电传感器-------------------------------------------------------------5 3.3光电编码器-------------------------------------------------------------6 4、硬件连接图及原理------------------------------------------------------------------------------6 5、实验程序及分析-----------------------------------------------------------------------------------8 6.仿真-----------------------------------------------------------------15 7、PROTEL DXP原理图-------------------------------------------------------------------16 8、PCB图-------------------------------------------------------------------------------------------------16 9、硬件调试结果与分析-------------------------------------------------------------------------17 10、谢词---------------------------------------------------------------------------------------------------17 11、参考文献--------------------------------------------------------------------------------------------18

直流电机PWM调速电路汇编

《电子技术》课程设计报告课题:直流电机PWM调速电路 班级电气1107 学号 1101205712学生姓名王海彬 专业电气信息类 学院电子与电气工程学院 指导教师电子技术课程设计指导小组 淮阴工学院 电子与电气工程学院 2012年05月

直流电机PWM调速电路 一)设计任务与要求: 1.设计电机驱动主回路,实现直流电机的正反向转动; 2.设计PWM驱动信号发生电路; 3.设计电机转速显示电路; 4.设计电机转速调节电路,可以按键或电位器调节电机转速; 5.安装调试。 二)系统原理及功能概述 1)直流电机脉宽调速电路原理 对小功率直流电机调速系统,使用单片机是极为方便的。其方法是通过改变电机电枢电压接通时间与通电周期的比值(即占空比)来控制电机速度。这种方法称为脉冲宽度调制(Pulse Width Modulation),简称 PWM。 改变占空比的方法有 3 种: (1)定宽调频法,这种方法是保持 t1 不变,只改变 t2 ,这样周期 T(或频率)也随之改变; (2)调宽调频法,保持 t1 不变,而改变 t2 ,这样也使周期 T(或频率)改变; (3)定频调宽法,这种方法是使周期 T(或频率)不变,而同时改变 t2 和 t1 由,当控制频率与系统的固有频率接近于前两种方法都改变了周期(或频率)时,将会引起振荡,用的比较少,因此本系统用的是定频调宽法。在脉冲作用下,当电机通电时,速度增加。电机断电时,速度逐渐减小。只要按一定规律,改变通断电时间,即可实现对电机的转速控制。设电机永远接通电源时,其最大转速为 Vmax,设占空比 D= t1 /T ,则电机的平均速度为 Vd,平均速度 Vd 与占空比 D 的函数曲线如图 1-2 所示,从图可以看出,VD 与占空比 D 并不是完全线性关系(图中实线),当系统允许时,可以将其近似的看成线性关系(图中虚线),本系统采用近似法。

PWM控制直流电机(重要资料)

PWM调速原理 PWM的原理: PWM(Pulse Width Modulation)控制——脉冲宽度调制技术,通过对一系列脉冲的宽度进行调制,来等效地获得所需要波形(含形状和幅值)。 PWM控制技术在逆变电路中应用最广,应用的逆变电路绝大部分是PWM型,PWM控制技术正是有赖于在逆变电路中的应用,才确定了它在电力电子技术中的重要地位。 1.PWM控制的基本原理 (1)理论基础: 冲量相等而形状不同的窄脉冲加在具有惯性的环节上时,其效果基本相同。冲量指窄脉冲的面积。效果基本相同,是指环节的输出响应波形基本相同。低频段非常接近,仅在高频段略有差异。 (2)面积等效原理: 分别将如图1所示 电压窄脉冲加在一阶惯性环节(R-L电路)上,如图a所示。其输出电流I(t)对不同窄脉冲时的响应波形如图b所示。从波形可以看出,在I(t)的上升段,I(t)的形状也略有不同,但其下降段则几乎完全相同。脉冲越窄,各I(t)响应波形的差异也越小。如果周期性地施加上述脉冲,则响应I(t)也是周期性的。用傅里叶级数分解后将可看出,各i(t)在低频段的特性将非常接近,仅在高频段有所不同。

图2 冲量相同的各种窄脉冲的响应波形 用一系列等幅不等宽的脉冲来代替一个正弦半波,正弦半波N等分,看成N个相连的脉冲序列,宽度相等,但幅值不等;用矩形脉冲代替,等幅,不等宽,中点重合,面积(冲量)相等,宽度按正弦规律变化。 SPWM波形——脉冲宽度按正弦规律变化而和正弦波等效的PWM波形。 图3 用PWM波代替正弦半波 要改变等效输出正弦波幅值,按同一比例改变各脉冲宽度即可。 PWM电流波:电流型逆变电路进行PWM控制,得到的就是PWM电流波。 PWM波形可等效的各种波形: 直流斩波电路:等效直流波形 SPWM波:等效正弦波形,还可以等效成其他所需波形,如等效所需非正弦交流波形等,其基本原理和SPWM控制相同,也基于等效面积原理。 2. PWM相关概念 占空比:就是输出的PWM中,高电平保持的时间与该PWM的时钟周期的时间之比 如,一个PWM的频率是1000Hz,那么它的时钟周期就是1ms,就是1000us,如果高电平出现的时间是200us,那么低电平的时间肯定是800us,那么占空比就是200:1000,也就是说PWM的占空比就是1:5。

相关文档
最新文档