基于PXI总线的高精度惯导姿态数据采集卡设计

基于PXI总线的高精度惯导姿态数据采集卡设计
基于PXI总线的高精度惯导姿态数据采集卡设计

USB接口的高速数据采集卡的设计与实现

摘要:讨论了基于USB接口的高速数据采集卡的实现。该系统采用TI公司的TUSB3210芯片作为USB通信及主控芯片,完全符合USB1.1协议,是一种新型的数据采集卡。 关键词:USB A/D FIFO 固件 现代工业生产和科学研究对数据采集的要求日益提高,在瞬态信号测量、图像处理等一些高速、高精度的测量中,需要进行高速数据采集。现在通用的高速数据采集卡一般多是PCI 卡或ISA卡,存在以下缺点:安装麻烦;价格昂贵;受计算机插槽数量、地址、中断资源限制,可扩展性差;在一些电磁干扰性强的测试现场,无法专门对其做电磁屏蔽,导致采集的数据失真。 通用串行总线USB是1995年康柏、微软、IBM、DEC等公司为解决传统总线不足而推广的一种新型的通信标准。该总线接口具有安装方便、高带宽、易于扩展等优点,已逐渐成为现代数据传输的发展趋势。基于USB的高速数据采集卡充分利用USB总线的上述优点,有效解决了传统高速数据采集卡的缺陷。 1 USB数据采集卡原理 1.1 USB简介 通用串行总线适用于净USB外围设备连接到主机上,通过PCI总线与PC内部的系统总线连接,实现数据传送。同时USB又是一种通信协议,支持主系统与其外设之间的数据传送。USB器件支持热插拔,可以即插即用。USB1.1支持两种传输速度,既低速1.5Mbps和高速 12Mbps,在USB2.0中其速度提高到480Mbps。USB具有四种传输方式,既控制方式(Control mode)、中断传输方式(Interrupt mode)、批量传输方式(Bulk mode)和等时传输方式(Iochronous mode)。 考虑到USB传输速度较高,如果用只实现USB接口的芯片外加普通控制器(如8051),其处理速度就会很慢而达不到USB传输的要求;如果采用高速微处理器(如DSP),虽然满足了USB传输速率,但成本较高。所以选择了TI公司内置USB接口的微控制器芯片 TUSB3210,开发了具有USB接口的高速数据采集卡。 1.2 系统原理图

基于DSP和PCI总线的同步数据采集卡设计

基于!"#和#$%总线的同步数据采集卡设计 王宏,许飞云,贾民平 (东南大学设备监控与故障诊断研究所,江苏南京&’(()*) 摘要:介绍了一种在大型设备状态监测和故障诊断系统中作为核心的同步数据采集卡的设 计方法。该采集卡使用+%公司的+,"-&(.$/0’(1!"#做数字信号处理器,对数据采集过 程进行控制,并进行数字信号处理。应用#$%&(0(实现+,"-&(.$/0’(1!"#到#$%总线间 可靠连接,从而保证了采集数据快速、高效地传输到#$机。采集卡集同步数据采集、信号处 理及高速数据传输于一体。在状态监测和故障诊断系统中应用时,能很好的满足数据采集、处 理和传输的需要。 关键词:!"#;#$%总线;#$%&(0(;同步数据采集 中图分类号:+#-)’233文献标识码:1文章编号:’*3’4/&3*(&((3)(’4(()/4(0 !"#$%&’()*&+,-’&’.#!/0/1+2.$#$0$’&3/-45/#"4’&!)6/&46375.# 516789:;,<=>?@4A B:,C%1,@:4D@:; (E?F?G H I J$?:K?H9L$9:M@K@9:,9:@K9H@:;G:M>G B N K!@G;:9F@F, "9B K J?G F K=:@O?H F@K A,6G:P@:;&’(()*,$J@:G) 18#0-/+0:1M?F@;:9L F A:I J H9:9B F M G K G G I Q B@F@K@9:I G H M@F B F?M G F I9H?@:N G H;?4F I G N??Q B@D R?:K’F I9:M@K@9:R9:@K9H@:;G:M L G B N K M@G;:9F@F2+,"-&(.$/0’(1!"#9L+%I9R D G:A@F B F?M G F M@;@K G N F@;:G N D H9I?F F9H9:K J?I G H M K9I9:K H9N K J?D H9I?F F9L M G K G G I4 Q B@F@K@9:G:M K9D H9I?F F K J?G I Q B@H?M M G K G2#$%&(0(@F G D D N@?M K9D H9O@M?G K H@?M I9::?I K@9:S?K T??:+,"-&(.$/0’(1!"#G:M #$%S B F K9;B G H G:K??K J?G I Q B@H?M M G K G K H G:F L?H H?M K9#$@:J@;J F D??M G:M J@;J?L L@I@?:I A2+J?I G H M I9R S@:?F F A:I J H9:9B F M G K G G I Q B@F@K@9:,F@;:G N D H9I?F F G:M J@;J U F D??M M G K G K H G:F@K@9:@:9:?25J?:B F?M@:I9:M@K@9:R9:@K9H@:;G:M L G B N K M@G;:9F@F F A F4 K?R F,K J?I G H M I G:T?N N R??K K J?:??M F9L G I Q B@F@K@9:,D H9I?F F G:M K H G:F R@F F@9:9L M G K G2 9"*:’-4#:!"#;#$%S B F;#$%&(0(;F A:I J H9:9B F M G K G G I Q B@F@K@9: ;引言 随着现代化工业生产日益系统化、高速化和自动化的发展,现代工业生产已逐渐形成一个具有整体性的生产链,一旦某一设备发生故障,将会引起整个生产过程不能正常运行,从而造成巨大的经济损失,严重时将造成灾难性的设备损坏及人员伤亡。近年来,国内外的设备事故时有发生。因此,人们对设备的可靠性和安全性提出了越来越高的要求,设备的故障监测与诊断技术受到了人们的高度重视,并已发展成为一门综合性的交叉学科,亦取得了显著的经济效益和社会效益[’]。 设备的故障监测与诊断技术多是基于#$机的测试系统,首先要进行数据采集,然后才能对获得的数据进行测试分析。所以数据采集卡是设备的故障监测与诊断的基础。 文中主要阐述了基于!"#的#$%总线同步数据采集卡的硬件设计,使用美国+%公司的+,"-&(.$/0’(1 !"#作为采集卡的处理器,使用高速的#$%总线与#$机连接,实现数据的采集和快速传送。该卡主要用于大型设备监测和故障诊断系统中完成数据采集和预处理功能,实现对被监测系统的实时监测。 <硬件设计 <2<采集卡总体结构 在大型设备的状态监测和故障诊断中,振动信号能最迅速最直接地反映机械设备的运行状态,3(V以上的运行故障都以振动形式表现出来。由于振动信号在工频及其各倍频处的能量分布直接反映了设备运行状态,因此需要在数倍于工频的范围内分析振动频谱,作为振动信号的状态监测系统要求也就比较高[-],表现为:采样频率高、信号处理量大、数据传输量也很大。而使用!"#和#$%总线相结合设计的同步采集卡却能满足这一需求。#$%总线数据采集卡系统的原理框图如图’所示。 由图’可以看出,’*通道模拟信号同步采集模块对由抗混滤波板输入的模拟信号进行缓冲处理输入后续的0片0通道同步采集芯片1!3W*/,该0片1!3W*/芯片由同一个采样脉冲控制采样及1/!转换,实现’*通道信号的同步采集。所有1!3W*/芯片的转换结果均通过板内部的!"#总线供+,"-&(.$/0’(1!"#芯片读取,该同步采集模块可根据测量的转速实现’*通道模拟信号同步整周期采集,采集频率每通道可高达3/X8Y。 此外,该信号同步采集模块具有内触发与外触发采样功能,其外触发采样功能可以保证多块’*通道信号同步采集模块同时使用,实现更多通道(如-&、0W通道等)的同步采样。 +,"-&(.$/0’(1!"#芯片为’*通道信号同步采集板的核心,它一方面控制各种信号的采集及保存,另一方面负责信号的分析与处理,并提取设备故障的特征信号通过其8#%接口供计算机获取用于故障诊断。各相关单元如1/!转换芯片、0Z-&[字数据E1,、’&W[字程序/数 !"#$%&’()%*+%&,-.)/01"/%0&,2’34556,78(9)::;!:

高速数据采集卡250MSPS

高速数据采集卡250MSPS 14bit 250MSPS 14bit 8通道高速数据采集卡主要应用于雷达、通信、电子对抗、高能物理、质谱分析、超声等高科技领域。西安慕雷电子在高速数据采集卡研发及系统应用领域拥有十多年经验,2013年底发布了250MSPS 14bit 8通道高速数据采集卡MR-HA-250M,采集记录存储带宽高达3000MB/S。高速数据采集卡MR-HA-250M及记录存储系统的成功发布使得西安慕雷电子在高速数据采集卡及相关记录存储回放领域为国防及科研领域又提供了一套高性能解决方案。 图一高速数据采集卡MR-HA-250M 高速数据采集卡MR-HA-250M模块参数: ●输入接口: 连接器:SSMC; 输入方式:AC或DC耦合; 通道数量:8通道,可同步32通道 ●AFE模块: 高速数据采集卡中的信号调理模块一般采用衰减、滤波及程控增益放大器等对信号进行处理,高速数据采集卡MR-HA-250M采用信号直通AD模式,减少前端调理对高速数据采集卡动态性能影响。 图二高速数据采集卡MR-HA-250M

●ADC模块: 高速数据采集卡的ADC芯片采用Linear Tech LTC2157-14 (250 MSPS) 图三高速数据采集卡MR-HA-250M动态性能 ●时钟管理模块: 高速数据采集卡MR-HA-250M可选择外时钟、内时钟或参考时钟 ●FPGA模块: XILINX或ALTERA的FPGA芯片广泛用于高速数据采集卡中。FPGA模块开放编程是高速数据采集卡的必备能力。高速数据采集卡MR-HA-250M采用XILINX V6系列高性能FPGA。 ●DDR模块: 高速数据采集卡一般都会配有DDR缓存,存储采集过程中的数据。高速数据采集卡MR-HA-250M配置有4GB DDR2。 ●FIFO模式 高速数据采集卡将板载内存虚拟为FIFO,允许采集数据由缓冲后连续不断地通过总线传输到主机内存或硬盘中。该模式特点就是高速、大容量,使得高速数据采集卡记录时间达数小时。记录时间取决于存储介质的容量。 图四高速数据采集卡MR-HA-250M

总线数据传输实验

实验报告 一、实验设计方案 实验框图 实验原理 1、SW_BUS低电平有效,此时将K[7..0]的数据送到总线,通过L[7..0]双向数据端口 输出显示总线的数据,使用的芯片是74244八位单向三态缓冲器; 2、R3_BUS、R2_BUS、R1_BUS低电平有效,其功能是将数据要传入的寄存器打开, 若相应的lddr为1(高电平有效),将数据传入相应的寄存器; 3、总线数据传输时,控制信号中只能有一个有效,寄存器的端口送至数据总线,所以 每个BUS接口对应每个R寄存器的显示; 4、通过读写的双重作用,实现R1和R2的数据交换。 表达式 Reg3←Reg1;Reg1←Reg2;Reg2←Reg3 方法一:vhdl代码 library ieee; use ieee.std_logic_1164.all; entity exp_bus is port(clk:in std_logic; sw_bus,r1_bus,r2_bus,r3_bus:in std_logic; k:in std_logic_vector(7 downto 0); lddr:in std_logic_vector(3 downto 1); l:inout std_logic_vector(7 downto 0)); end exp_bus; architecture rtl of exp_bus is

signal r1,r2,r3,bus_Reg:std_logic_vector(7 downto 0); begin ldreg:process(clk,lddr,bus_reg) begin if clk'event and clk='1' then if lddr(1)='1'then r1<=bus_reg; elsif lddr(2)='1'then r2<=bus_reg; elsif lddr(3)='1'then r3<=bus_reg; end if; end if; end process; bus_reg<=k when (sw_bus='0'and r1_bus='1'and r2_bus='1'and r3_bus='1')else r1 when (sw_bus='1'and r1_bus='0'and r2_bus='1'and r3_bus='1')else r2 when (sw_bus='1'and r1_bus='1'and r2_bus='0'and r3_bus='1')else r3 when (sw_bus='1'and r1_bus='1'and r2_bus='1'and r3_bus='0')else (others=>'0'); l<=bus_reg when (sw_bus='0' or r1_bus='0' or r2_bus='0' or r3_bus='0')else (others=>'Z'); end rtl; 方法二:bdf实验原理图

第10章基于研华数据采集卡的LabVIEW程序设计

第10章基于研华数据采集卡的 L a b V I E W程序设计 本章利用研华公司的PCI-1710HG数据采集卡编写LabVIEW程序,包括:模拟量输入、模拟量输出、开关量输入以及开关量输出等。 10.1 模拟量输入(AI) 10.1.1 基于研华数据采集卡的LabVIEW程序硬件线路 在图10-1中,通过电位器产生一个模拟变化电压(范围是0V~5V),送入板卡模拟量输入0通道(管脚68),同时在电位器电压输出端接一信号指示灯,用来显示电压变化情况。 图10-1 计算机模拟电压输入线路 本设计用到的硬件为:PCI-1710HG数据采集卡、PCL-10168数据线缆、ADAM-3968接线端子(使用模拟量输入AI0通道)、电位器(10K)、指示灯(DC5V)、直流电源(输出:DC5V)等。 10.1.2 基于研华数据采集卡的LabVIEW程序设计任务 利用LabVIEW编写应用程序实现PCI-1710HG数据采集卡模拟量输入。 任务要求: (1)以连续方式读取电压测量值,并以数值或曲线形式显示电压测量变化值;

(2)当测量电压小于或大于设定下限或上限值时,程序画面中相应指示灯变换颜色。

10.1.3 基于研华数据采集卡的LabVIEW程序任务实现 1.建立新VI程序 启动NI LabVIEW程序,选择新建(New)选项中的VI项,建立一个新VI程序。 在进行LabVIEW编程之前,必须首先安装研华设备管理程序Device Manager、32bit DLL驱动 程序以及研华板卡LabVIEW驱动程序。 2.设计程序前面板 在前面板设计区空白处单击鼠标右键,显示控件选板(Controls)。 (1)添加一个实时图形显示控件:控件(Controls)→新式(Modern)→图形(Graph)→波形图形(Waveform Chart),标签改为“实时电压曲线”,将Y轴标尺范围改为0.0-5.0。 (2)添加一个数字显示控件:控件(Controls)→新式(Modern)→数值(Numeric)→数值显示控件(Numeric Indicator),标签改为“当前电压值:”。 (3)添加两个指示灯控件:控件(Controls)→新式(Modern)→布尔(Boolean)→圆形指示灯(Round LED),将标签分别改为“上限指示灯:”、“下限指示灯:”。 (4)添加一个停止按钮控件:控件(Controls)→新式(Modern)→布尔(Boolean)→停止按钮(Stop Button)。 设计的程序前面板如图10-2所示。 图10-2 程序前面板 3.框图程序设计——添加函数 进入框图程序设计界面,在设计区空白 处单击鼠标右键,显示函数选板(Functions)。 在函数选板(Functions)下添加需要的函数。 (1)添加选择设备函数:用户库→ Advantech DA&C(研华公司的LabVIEW函数库)→ EASYIO → SelectPOP →,如图10-3所示。 图10-3 SelectPop函数库

教你设计pci总线的高速数据采集卡(基于pci9054)

教你设计PCI总线的高速数据采集卡(基于PCI9054) 2007-03-13 21:02 眼下有不少场合需要用到PCI总线的数据采集卡,下面我就来谈一下设计PCI数采卡的原理及要点。 首先我要以我的实际经验,纠正存在于很多人心里的几个误区: 1.设计PCI采集卡要通读PCI协议。 相信有很多初学者都在这个地方被吓住了,几百页的英文要通读并理解谈何容易!其实PCI协议处理的这部分功能已经被PCI接口芯片完成了,如PLX公司的9054、9056和9052等等,它封装了PCI协议的细节,我们只需要控制这颗接口芯片local端的几个控制线就可以完成PCI总线的数据传输。PCI协议也有它的用处,我们只需要在某些需要注意的地方查阅一下相关章节即可,比如PRSNT1#和PRSNT2#引脚至少要有一个下拉,才能识别到卡,这就是PCI协议中的规定。 2. PCI卡布线很复杂,一不小心就可能不成功。 其实对于32位33MHz的PCI总线来说,布线相对比较简单,只要稍加注意就不会出问题。比如:PCI总线的时钟线要做成2500(+/-100)mil,这个是要注意的一点,一般PCI卡上的蛇行弯曲走线就是这条线,因为走直线距离一般都达不到此长度。其他要求,比如地址和数据线要在1500mil以内,其实你超过一些也没什么问题,不要超太多就好了。 3. PCI卡的驱动程序编写很难。 其实无论是软件还是硬件设计,都有一些相对成熟的资料可以参考。对驱动程序来说也是这样,对实际项目的开发没有几个是从头到尾自己在编代码,都可以在网上找到一些成熟的代码,然后自己修改一下即可,况且PCI卡的驱动程序又相对比较成熟,可参考的资料也较多。所以你要从网上找代码,向PCI接口芯片的供应商要代码,等收集到足够多的代码,再配以适当的教材(比如对于windows2000/XP系统下的WDM驱动程序,可以参考武安河老师的教材就足够),就可以进行你自己的驱动设计了。 下面我再针对具体应用谈谈PCI采集卡的设计: 一般数采卡的情况是将A/D转换后的数据通过PCI总线上传到PCI机,然后利用

基于 SAA7130HL 的视频采集卡设计

基于SAA7130HL的视频采集卡设计 宗靖国,向健勇,王新怀 (西安电子科技大学技术物理学院光电图像处理研究室,陕西西安 710071) 摘 要介绍了PHILIPS公司的第五代“精显芯片”SAA7130 HL的主要功能及性能特点,提出了一种基于SAA7130的视频采集卡设计方案,并详细说明了该采集卡的硬件框图和软件程序设计。实际的测试和使用证明了该采集卡的稳定性和可靠性。 关键词SAA7130HL;视频采集卡;I2C总线;WDM驱动程序 中图分类号 TN919.8 在实时图像采集系统中,由于图像数据量庞大,在使用计算机采集数据时,传统的方法,像使用串口、并口或ISA卡等方式传送数据不能适应高速大容量数据吞吐的需要,而需要一种高速率传输的总线以适应系统设计的需要。PCI总线因其众多的功能、强大的兼容性、传输的高速率很好地解决了计算机系统的总线传输问题。 近年来,图像处理技术迅猛发展,对图像采集设备要求也越来越高。当前有关图像采集的设备,主要包括两大类:一类是视频采集卡,主要用于监控系统。常用的接口芯片有AMCC S5933,PLX9054,常用的一体化芯片有BT878和PHILIPS 的 SAA7130/7134。BT878是早期的视频芯片,PHILIPS SAA7130/7134属于最新一代的视频芯片,最高分辨率可以达到768×576,图像质量较高。另一类是数码摄像产品,内带存储设备,可随身携带。 1 SAA7130HL的主要特点 SAA7130是一款将视频采集功能集成于一体的芯片。它在单片上集成了视频数字化、解码、PCI 接口电路。还提供了通用I/O(GPIO)接口组,支持各种扩展应用。 SAA7130HL是符合PCI标准协议高度集成化、低功耗的一款芯片,专门针对模拟电视信号和数字视频信号。支持多种数字视频格式,以总线主方式写的形式通过PCI总线传输进计算机。它通过9位的ADC采样后进入标准译码器,通过梳状滤波器和高性能计数器进行二维的色度/亮度分离,包括可 变化的水平和垂直上下扫描范围和亮度,对比度和饱和度控制电路。支持包括YUV,RGB在内的多种视频输出格式,可自动进行GAMMA校正、黑电平延伸。 SAA7130HL的GPIO接口,支持多种扩展功能,可接MPEG压缩芯片或高速信号处理器(DSP)。传输数据流通过GPIO接口输入进计算机定义好的内存,各种内部事件或是GPIO的状态信息都可引发PCI总线上中断。 2 基于SAA7130HL的视频采集卡 2.1 系统框图 因为SAA7130内部集成了视频AD,PCI接口等功能,并且价格也比较便宜,制作模拟视频的PCI 采集卡结构简单,图像质量也有保证。图1给出了基于SAA7130HL的视频采集卡的方框图。 该采集卡主要分为视频输入部分、逻辑控制芯片部分、I2C串行EEPROM加载芯片AT24c08部分、各种接口,包括视频输出口、TV高频头接口。 2.1.1 视频输入部分 视频输入部分提供5个模拟输入,内部模拟源选择器,如:5 CVBS 或(Y/C 和1CVBS)或(1Y/C 和3 CVBS)。 2.1.2 逻辑控制芯片部分 逻辑控制芯片在此主要有两个功能:一方面是完成对信号的锁存;另一方面完成采集卡的硬件加密。CPLD有加密位,可以方便的加密,并且加密后不容易破解。从而保护了该卡不被盗版。 电子科技 2005年第10期(总第193期) 52

基于研华数据采集卡的LabVIEW程序设计

第10章基于研华数据采集卡的L a b V I E W 程序设计 本章利用研华公司的PCI-1710HG数据采集卡编写LabVIEW程序,包括:模拟量输入、模拟量输出、开关量输入以及开关量输出等。 10.1 模拟量输入(AI) 10.1.1 基于研华数据采集卡的LabVIEW程序硬件线路 在图10-1中,通过电位器产生一个模拟变化电压(X围是0V~5V),送入板卡模拟量输入0通道(管脚68),同时在电位器电压输出端接一信号指示灯,用来显示电压变化情况。 图10-1 计算机模拟电压输入线路 本设计用到的硬件为:PCI-1710HG数据采集卡、PCL-10168数据线缆、ADAM-3968接线端子(使用模拟量输入AI0通道)、电位器(10K)、指示灯(DC5V)、直流电源(输出:DC5V)等。 10.1.2 基于研华数据采集卡的LabVIEW程序设计任务 利用LabVIEW编写应用程序实现PCI-1710HG数据采集卡模拟量输入。 任务要求: (1)以连续方式读取电压测量值,并以数值或曲线形式显示电压测量变化值;

(2)当测量电压小于或大于设定下限或上限值时,程序画面中相应指示灯变换颜色。 209 / 21

10.1.3 基于研华数据采集卡的LabVIEW程序任务实现 1.建立新VI程序 启动NI LabVIEW程序,选择新建(New)选项中的VI项,建立一个新VI程序。 在进行LabVIEW编程之前,必须首先安装研华设备管理程序Device Manager、32bitDLL驱动 程序以及研华板卡LabVIEW驱动程序。 2.设计程序前面板 在前面板设计区空白处单击鼠标右键,显示控件选板(Controls)。 (1)添加一个实时图形显示控件:控件(Controls)→新式(Modern)→图形(Graph)→波形图形(Waveform Chart),标签改为“实时电压曲线”,将Y轴标尺X围改为0.0-5.0。 (2)添加一个数字显示控件:控件(Controls)→新式(Modern)→数值(Numeric)→数值显示控件(Numeric Indicator),标签改为“当前电压值:”。 (3)添加两个指示灯控件:控件(Controls)→新式(Modern)→布尔(Boolean)→圆形指示灯(Round LED),将标签分别改为“上限指示灯:”、“下限指示灯:”。 (4)添加一个停止按钮控件:控件(Controls)→新式(Modern)→布尔(Boolean)→停止按钮(Stop Button)。 设计的程序前面板如图10-2所示。 图10-2 程序前面板 3.框图程序设计——添加函数 进入框图程序设计界面,在设计区空白 处单击鼠标右键,显示函数选板(Functions)。 在函数选板(Functions)下添加需要的函数。 (1)添加选择设备函数:用户库→ Advantech DA&C(研华公司的LabVIEW函数库) →EASYIO→SelectPOP→Sel ectDevicePop.vi,如 图10-3 SelectPop函数库

高速数据采集卡

高速数据采集卡5GSPS 10bit 5GSPS 10bit高速数据采集卡主要应用于雷达、通信、电子对抗、高能物理、质谱分析、超声等高科技领域。西安慕雷电子在高速数据采集卡研发及系统应用领域拥有十多年经验,2013年底发布了5GSPS 10bit高速数据采集卡MR-HA-5G,采集记录存储带宽高达6000MB/S。高速数据采集卡MR-HA-5G及记录存储系统的成功发布代表西安慕雷电子在高速数据采集记录存储回放领域再一次登上技术巅峰。 图一高速数据采集卡MR-HA-5G 高速数据采集卡MR-HA-5G模块参数: ●输入接口: 连接器:SMA; 输入方式:AC耦合; 通道数量:单通道、2通道、4通道。 ●AFE模块: 高速数据采集卡中的信号调理模块一般采用衰减、滤波及程控增益放大器等对信号进行处理,高速数据采集卡MR-HA-5G采用信号直通AD模式,减少前端调理对高速数据采集卡动态性能影响。 图二高速数据采集卡MR-HA-5G

高速数据采集卡的ADC芯片采用E2V公司的EV10AQ190A,最高达5GSPS 采样,模拟带宽3GHZ。 图三高速数据采集卡MR-HA-5G频率响应 ●时钟管理模块: 高速数据采集卡MR-HA-5G可选择外时钟、内时钟或参考时钟 ●FPGA模块: XILINX或ALTERA的FPGA芯片广泛用于高速数据采集卡中。FPGA模块开放编程是高速数据采集卡的必备能力。高速数据采集卡MR-HA-5G采用ALTERA STRATIX5系列高性能FPGA。 图四高速数据采集卡MR-HA-5G ●DDR模块: 高速数据采集卡一般都会配有DDR缓存,存储采集过程中的数据。根据采集数据量和速度,容量有:512M、1G、2G、4G等。高速数据采集卡MR-HA-5G 配置有16GB DDR3。

作业三结构化系统设计[1]

作业三结构化系统设计(第6-8章) 3-1、用面向数据流的方法设计下列系统的软件结构 (1)储蓄系统 (2)机票预定系统 (3)患者监护系统

3-2、某火车售票系统的数据流程图如下所示,设计系统的软件结构 系统的软件结构图: 列车查询系统 查询结果输出 分析查询信息接受查询信息输入要查询信息按路线查询 按班次查询按日期查询 3-3、已知某系统的需求分析给出的系统数据流程图如下,画出结构图

系统的软件结构图: 3-4、画出下列伪码程序的程序流程图和盒图 START IF p THEN WHILE q DO f END DO ELSE BLOCK g n END BLOCK END IF STOP F P T q g f n

3-5、下图给出的程序流程图代表一个非结构化的程序,请问: (1)为什么说它是非结构化的? (2)设计一个等价的结构化程序。 (3)在(2)题的设计中你使用附加的标志变量flag 吗?若没用,请再设计一个使用flag 的程序;若用了,再设计一个不用flag 的程序 答:(1)通常所说的结构化程序,是按照狭义的结构程序的定义衡量,符合定义规定的程序。图示的程序的循环控制结构有两个出口,显然不符合狭义的结构程序的定义,因此是非结构化的程序。 (2)使用附加的标志变量flag ,至少有两种方法可以把该程序改造为等价的结构化程序,下图描绘了等价的结构化程序的盒图。 flag= TRUE F p T flag=FLASH g (NOT q) OR (NOT flag) (3)不使用flag 把该程序改造为等价的结构化程序的方法如图 F P T g (NOT q) OR (NOT q) 3-6、画出下列伪码程序的流图,计算它的环形复杂度。你觉得这个程序的逻辑有什么问题吗? C EXAMPLE LOOP:DO WHILE X>0 A=B+1 IF A>10 flag=TRUE P AND flag g q F T flag=FAlSE

一种高速数据采集卡的设计与实现.

一种高速数据采集卡的设计与实现 摘要:为了实现对武器系统模拟信号的采集和数据分析,根据PC/104总线的数据采集系统的设计思想,数据采集卡以A/D转换器、CPLD和FIFO相结合来实现信号的连续采集与数据传输的控制。A/D转换器实现信号的采样保持和模数转换,CPLD实现数据采集和存储过程的控制。实验结果表明,该数据采集卡操作简单、实时性强、性能稳定,可实现对被测信号高速连续的数据采集。 关键词:数据采集;复杂可编程逻辑器件;FIFO;时序控制;逻辑控制 O 引言 测试设备是武器系统中最主要的子系统之一,它的工作正常与否将直接影响到整个武器系统的作战性能。在对武器系统进行测试的过程中,需要对一系列的电压、电流等模拟量信号进行快速、实时的数据采集和分析,检查这些模拟量的指标是否符合要求,可以对武器系统是否发生故障做出诊断,保证武器系统的正常工作。根据现代战争对武器系统的作战需求,提高快速机动保障能力,研制出体积小、结构紧凑、便携式的测试设备就成为主要的目标。 本文设计了一种基于PC/104总线的高速数据采集系统,其目的在于替代示波器在武器系统测试中的作用。常规采集方案主要有两种: (1)由单片机直接控制的采集方案,这是最简单最常用的控制方案。由于每次采样都要有单片机的参与,需占用单片机的时间,影响其数据处理,而且对于多通道、多个A/D转换器的控制,因所需处理的信息更多,则更加不方便。 (2)由DMA控制的采集方案。此方案硬件电路复杂,若与单片机配合使用,需要单片机具有总线挂起功能,否则还需要进行总线切换,影响数据的及时处理。 综合以上两种方案的优缺点,本数据采集卡自动采样硬件电路主要采用可编程逻辑器件CPLD和先进先出FIFO(First In First Out)技术设计而成,可以很好地实现高速数据采集。 1 数据采集卡总体方案设计 数据采集卡是由信号调理电路、带采样保持器的A/D模数转换器、多路模拟开关、FIFO数据缓存、CPLD芯片及时钟电路等部分组成,具有高精度、高可靠性、高抗干扰能力等特点。总体结构设计原理如图1所示。 2 芯片介绍 该数据采集卡采用的芯片主要有:AD9283模/数转换器、AD508A多路选择开关、EPM7128SCL84-6CPLD和CY7C4261 FIFO缓存器。下面对以上所用芯片做一简要介绍。 2.1 AD9283模/数转换器简介 本数据采集卡选用了ANALOG DEVICE公司生产的高速8位模/数转换器AD9283。它采用先进CMOS制作工艺,提供20脚表面贴装封装形式。片内集成高性能采样和保持放大器,输入信号可采用单输入或差分输入;处理输入电压

CAN总线接口电路设计的关键问题及解决方法

CAN总线接口电路设计的关键问题及解决方法 摘要:文章总结了CAN接口电路设计中的几个关键问题,提出应采取合理设置光电隔离电路、隔离光电耦合器两侧的电源、设置必需的上拉电阻、总线阻抗匹配、增加抗干扰等措施, 提高了CAN总线接口电路的可靠性与安全性。 0引言 CAN总线是一种有效支持分布式控制和实时控制的串行通信网络,以其高性能和高可靠性在自动控制领域得到了广泛的应用。为提高系统的驱动能力,增大通信距离,实际应用 中多采用Philips公司的82C250作为CAN控制器与物理总线间的接口,即CAN收发器,以增强对总线的差动发送能力和对CAN控制器的差动接收能力。为进一步增强抗干扰能力,往往在CAN控制器与收发器之间设置光电隔离电路。典型的CAN总线接口电路原理如图1所示。 1接口电路设计中的关键问题 1.1光电隔离电路 光电隔离电路虽然能增强系统的抗干扰能力,但也会增加CAN总线有效回路信号的传输延迟时间,导致通信速率或距离减少。82C250等型号的CAN收发器本身具备瞬间抗干扰、降低射频干扰(RFI)以及实现热防护的能力,其具有的电流限制电路还提供了对总线的进一步保护功能。因此,如果现场传输距离近、电磁干扰小,可以不采用光电隔离,以使系统达到最大的通信速率或距离,并且可以简化接口电路。如果现场环境需要光电隔离,应选用高速光电隔离器件,以减少CAN总线有效回路信号的传输延迟时间,如高速光电耦合器6N137,传输延迟时间短,典型值仅为48ns,已接近TTL电路传输延迟时间的水平。 1.2电源隔离 光电隔离器件两侧所用电源Vdd与Vcc必须完全隔离,否则,光电隔离将失去应有的作用。电源的隔离可通过小功率DC/DC电源隔离模块实现,如外形尺寸为DIP-14标准脚位的5V 双路隔离输出的小功率DC/DC模块。 1.3上拉电阻 图1中的CAN收发器82C250的发送数据输入端TXD与光电耦合器6N137的输出端OUT 相连,注意TXD必须同时接上拉电阻R3。一方面,R3保证6N137中的光敏三极管导通时输出低电平,截止时输出高电平;另一方面,这也是CAN总线的要求。具体而言,82C250的TXD端的状态决定着高、低电平CAN电压输入/输出端CANH、CANL的状态(见表1)。CAN 总线规定,总线在空闲期间应呈隐性,即CAN网络中节点的缺省状态是隐性,这要求82C25O 的TXD端的缺省状态为逻辑1(高电平)。为此,必须通过R3确保在不发送数据或出现异常情况时,TXD端的状态为逻辑1(高电平)。 表1TXD与CANH、CANL的关系表 TXD状态CANH电平(V)CANL电平(V)CAN总线状态 1 2.5 2.5隐性(逻辑1)

USB接口的高速数据采集卡的设计与实现

USB接口的高速数据采集卡的设计与实现摘要:讨论了基于USB接口的高速数据采集卡的实现。该系统采用TI公司的TUSB3210芯片作为USB通信及主控芯片,完全符合USB1.1协议,是一种新型的数据采集卡。关键词:USB A/D FIFO 固件现代工业生产和科学研究对数据采集的要求日益提高,在瞬态信号测量、图像处理等一些高速、高精度的测量中,需要进行高速数据采集。现在通用的高速数据采集卡一般多是PCI卡或ISA卡,存在以下缺点:安装麻烦;价格昂贵;受计算机插槽数量、地址、中断资源限制,可扩展性差;在一些电磁干扰性强的测试现场,无法专门对其做电磁屏蔽,导致采集的数据失真。通用串行总线USB 是1995年康柏、微软、IBM、DEC等公司为解决传统总线不足而推广的一种新型的通信标准。该总线接口具有安装方便、高带宽、易于扩展等优点,已逐渐成为现代数据传输的发展趋势。基于USB的高速数据采集卡充分利用USB总线的上述优点,有效解决了传统高速数据采集卡的缺陷。1 USB数据采集卡原理1.1 USB简介通用串行总线适用于净USB外围设备连接到主机上,通过PCI总线与PC内部的系统总线连接,实现数据传送。同时USB又是一种通信协议,支持主系统与其外设之间的数据传送。USB器件支持热插拔,可以即插即用。USB1.1支持两种传输速度,既低速1.5Mbps和高速12Mbps,在USB2.0中其速度提高到480Mbps。USB具有四种传输方式,既控制方式(Control mode)、中断传输方式(Interrupt mode)、批量传输方式(Bulk mode)和等时传输方式(Iochronous mode)。考虑到USB传输速度较高,如果用只实现USB接口的芯片外加普通控制器(如8051),其处理速度就会很慢而达不到USB传输的要求;如果采用高速微处理器(如DSP),虽然满足了USB传输速率,但成本较高。所以选择了TI公司内置USB接口的微控制器芯片TUSB3210,开发了具有USB接口的高速数据采集卡。1.2 系统原理图系统原理图如图1所示。整个系统以TUSB3210为核心,负责启动A/D 转换,控制FIFO的读写及采样频率的设定,与主机之间的通信及数据传输。2 USB数据采集卡硬件2.1 TUSB3210芯片TUSB3210是TI公司推出的内嵌8052内核并带有USB接口的微控制器芯片。TUSB3210有256字节的内部RAM,8K字节的程序RAM,512字节的USB数据缓冲和端点描述块EDB(Endpoint Descriptor Blocks),4个通用的GPIO端口P0、P1、P2、P3,I2C接口电路,看门狗电路等。TUSB3210的USB接口符合USB1.1协议,有4个输入端点(Input Endpoint)和4个输出端点(Output End-Point),支持全速和低速传输速率,并具有USB 协议所规定的4种传输方式。TUSB3210的USB接口采用串行接口引擎(SIE)编码和解码串行数据,并且进行校验、位填充,执行USB所需要的其他信号。这样采用硬件完成USB协议,简化了固件代码的编制。[!--empirenews.page--]TUSB3210采用基于内部RAM的解决方案,允许通过I2C总线从串行EEPROM中读入固件或从主机中下载固件程序。这项功能便于设备的开发与在线升级。2.2 A/D芯片MAX1449MAX1449是MAXIM公司生产的10位、105MSPS、单3.3V 电源、低功耗的高速A/D芯片。它采用差分输入,带有高宽带采样/保持(T/H)的10阶段流水线(pipeline)型结构的ADC,如图2。采样信号每半个时钟周期通过一个流水线段,完成连续转换到数据输出共需5.5个时钟周期。每个流水线首先通过一个1.5位的闪速ADC对输入电压量化,由DAC产生一个对应于量化结果的电压并与输入电压作差,输出电压放大2倍后送到下一级流水线处理。每级流水线提供1位的分辨率,并进行差错校正,得到良好的线性和低失调。 MAX1449提供一个2.048V的精确带隙基准源,用来设定ADC满量程范围,也可以用外部基准源改变量程范围。MAX1449的最大差分输入电压范围为2V。2.3 输入信号处理电路MAX1449芯片的输入信号为差分输入时有最佳的采样效果。在本系统中用TI的HTS4503作为单端输入到差分输出的转换电路。THS4503高性能的全差分运放,带宽可达270MHz,具有非常好的线性,在100MHz下可支持11位的A/D转换要求,适合作为A/D变换的前端接口电路。具体电路见图3所示。2.4 FIFO和时钟发生电路高速A/D变换的数据不能直接通过USB送入主机,系统中通过FIFO来缓冲数据。本系统采用TI公司SN74V293芯片。它的容量为65536×18或131072×9,最快读写周期为6ns,可以满足100MHz采样数据的存

AD采集卡采集模拟信号设计实验报告

电气与信息工程学院 现代检测技术实验设计报告 实验名称:AD采集卡采集模拟信号设计学生姓名:x x x 学号:11105030520 同组人:x x x x 指导老师:李鸿 2012年1月

一、实验目的: 通过设计实验,撑握传感器、AD采集卡的使用,学会用一门语言编写控制软件。 二、实验时间: 12月11日-12月25日 三、实验内容和要求: 运用AD采集卡实现传感器模拟信号的采集,要求设计软件处理并显示采集结果。 四、实验步骤 1、选取电涡流传感器测量工件的位移量,将所得信号做为AD采集卡输入量。 2、选取AD采集卡采集通道口,并焊接好相应输入端导线。 3、编写应用软件处理显示采集的数据。 五、实验设备介绍 1、PCI8735采集卡 1)原理说明: PCI873卡采用PCI 接口芯片及门阵列作为主控芯片。门阵列控制模拟输入、采样、模拟输出及开关量,脉冲计数。 模拟量(输入0-63)经电子开关及缓冲放大器进入A/D,模拟转换由门阵列提供控制信号、同时转换结果存放在门阵列中。输入范围由SW:二位DIP开关选择输入为:5V、10V 、±5V。AD的前32路输入由DB37插座P1输入。AD的后32路由P3:34芯IDC 头输入。 32路开关量(DIO)的16路输入(DI0-DI15)、输出(DO0-DO15)也由门阵列控制。输出上电或复位后为“高阻”状态(输出为三态)。DI/O、脉冲计数由P2:40芯扁平电缆插座输入、输出。 二路D/A 输出通道“0”、“1”,输出由DB37插座P1输出。

图1 PCI8735数据采集卡 2)主要性能特性 ◆转换器类型:AD7321 ◆输入量程(InputRange):±10V、±5V、±2.5V、0~10V ◆转换精度:13 位(Bit),第13 位为符号位 ◆采样速率:最高系统通过率500KHz,不提供精确的硬件分频功能 说明:各通道实际采样速率= 采样速率/ 采样通道数 ◆模拟输入通道总数:32 路单端,16 路双端 ◆采样通道数:软件可选择,通过设置首通道(FirstChannel)和末通道(LastChannel)来实现的 说明:采样通道数= LastChannel – FirstChannel + 1 ◆通道切换方式:首末通道顺序切换 ◆AD 转换时间:<1.6us ◆程控放大器类型:默认为AD8251,兼容AD8250、AD8253 ◆程控增益:1、2、4、8 倍(AD8251)或1、2、5、10 倍(AD8250)或1、 10、100、1000 倍(AD8253) ◆模拟输入阻抗:10M? ◆非线性误差:±1LSB ◆系统测量精度:0.1% ◆工作温度范围:-40 ~+85℃ 3)使用方法

USB接口的高速数据采集卡的设计与实现.

USB接口的高速数据采集卡的设计与实现 2008-01-20 摘要:讨论了基于USB接口的高速数据采集卡的实现。该系统采用TI公司的TUSB3210芯片作为USB通信及主控芯片,完全符合USB1.1协议,是一种新型的数据采集卡。 关键词:USB A/D FIFO 固件 现代工业生产和科学研究对数据采集的要求日益提高,在瞬态信号测量、图像处理等一些高速、高精度的测量中,需要进行高速数据采集。现在通用的高速数据采集卡一般多是PCI卡或ISA卡,存在以下缺点:安装麻烦;价格昂贵;受计算机插槽数量、地址、中断资源限制,可扩展性差;在一些电磁干扰性强的测试现场,无法专门对其做电磁屏蔽,导致采集的数据失真。 通用串行总线USB是1995年康柏、微软、IBM、DEC等公司为解决传统总线不足而推广的一种新型的通信标准。该总线接口具有安装方便、高带宽、易于扩展等优点,已逐渐成为现代数据传输的发展趋势。基于USB的高速数据采集卡充分利用USB总线的上述优点,有效解决了传统高速数据采集卡的缺陷。 1 USB数据采集卡原理 1.1 USB简介 通用串行总线适用于净USB外围设备连接到主机上,通过PCI总线与PC内部的系统总线连接,实现数据传送。同时USB又是一种通信协议,支持主系统与其外设之间的数据传送。USB器件支持热插拔,可以即插即用。USB1.1支持两种传输速度,既低速1.5Mbps和高速12Mbps,在USB2.0中其速度提高到 480Mbps。USB具有四种传输方式,既控制方式(Control mode)、中断传输方式(Interrupt mode)、批量传输方式(Bulk mode)和等时传输方式(Iochronous mode)。 考虑到USB传输速度较高,如果用只实现USB接口的芯片外加普通控制器(如8051),其处理速度就会很慢而达不到USB传输的要求;如果采用高速微处理器(如DSP),虽然满足了USB传输速率,但成本较高。所以选择了TI公司内置USB接口的.微控制器芯片TUSB3210,开发了具有USB接口的高速数据采集卡。 1.2 系统原理图 系统原理图如图1所示。

相关文档
最新文档