自动洗衣机控制器需求分析说明概况

自动洗衣机控制器需求分析说明概况
自动洗衣机控制器需求分析说明概况

自动洗衣机控制器

系统分析规格说明书

小组成员:座号姓名,……

1. 引言

1.1 编写系统分析规格说明书的目的

“自动洗衣机控制器”的系统分析规格说明书,是软件开发者及分析人员根据系统用户提出的需求对系统加以描述,同时进行建模。本系统的用户是普通大众。

本系统分析规格说明书的预期读者包括:

1)系统分析人员

2)软件设计人员

3)软件编程人员

4)软件测试人员

1.2 项目背景(软件产品的作用范围)

“自动洗衣机控制器”可以用于全自动洗衣机,通过触摸面板和液晶显示器对洗衣机的流程进行控制和观察,能提高家电的智能化程度,提高电器本身的附带价值,市场预期良好。

1.3 定义(术语的定义和缩写词的原文)

触摸按钮:市面上流行的光源触摸按钮

液晶:3寸显示器,用于显示洗衣机状态

洗涤:带洗衣粉的洗衣过程

清洗:洗,脱水,洗,脱水的反复步骤,达到洗清洗衣粉的目的

1.4 参考资料

[1]刘润东,《UML设计与编程》,北京希望电子出版社,2001.5

[2]刁成嘉,《UML系统建模与分析设计》,机械工业出版社,2007.6

[3]王少锋,《UML面向物件教程》,清华大学出版社,2004.2

2. 软件产品的一般性的描述

2.1 运行环境与资源

(1)系统软件运行环境

1)系统建模采用支持UML的CASE工具Rose 2003开发环境。

2)系统实现语言采用C语言编程

(2)系统硬件运行环境

1)51-XX系列单片机,内存512M

2)3寸液晶屏

3)触摸按钮

2.2. 软件产品的功能

2.2.1 软件工作原理

控制器外观小巧,功耗低,按钮方便耐用,显示器清晰。当用户进行不同的选择时,系统可以根据既定程序进行,并且将有关步骤显示在液晶屏上。

2.2.2 软件总体用例图

洗羊毛衣物

2.2.3 系统事件及响应

1)普通全自动:放水,放洗衣粉-普通洗涤(30转)-普通清洗(30转)-脱水

2)待预热30度快速洗:放水,放洗衣粉-预热至30度-普通洗涤(20转)-普通清洗(20转)-脱水

3)洗羊毛衣物:放水,放洗衣粉-轻柔洗涤(50转)-轻柔清洗(50转)-脱水

4)洗化纤衣物:放水,放洗衣粉-高速洗涤(20转)-高速清洗(20转)-脱水

5)显示状态:每个用户操作和每个步骤变化都显示在液晶显示器上

6)清洗:普通清洗(30转)-脱水

7)脱水:排水-高速脱水

8)烘干:加热空气烘干,紫外线消毒

2.3 用户特性

用户:普通大众。

对于用户的中途改变操作要再次确认功能,需要保证产品的耐用性和材料安

全,保证低功耗,节省电源。

2.4 限制与约束

1)保证系统的安全性,帮助用户杜绝不正确操作

2)抗干扰性强,输出信号稳定

3)开发期限:6周。

3. 系统功能行为分析

3.1 系统功能模型——系统用例模型

烘干

消毒

…。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。

3.3 相关用例的展开——活动图

3.3.1 总的活动图

3.3.2 学生选课活动图

3.3.3 教师活动图

3.3.4 教务处活动图

3.4 系统静态模型——对象类模型

3.5 系统动态模型学生选课系统状态图:

3.6 系统体系结构模型

3.7 输出结果

4. 系统性能分析

学生选课系统是为了提高现代高校教务管理的工作效率而设计的,使用B/S结构,让管理员,教师和学生能够方便的使用各自的功能,所以该系统必须具备数据精确性,时间特性,适应性和故障恢复性等功能。

4.1 数据精确度

该学生选课系统对数据输入/输出的精度要求为小数点后两位,因为涉及到成绩的输入和输出及学分绩点的计算输出。

4.2 时间特性(响应,传输,运行时间等。)

该学生选课系统对时间的要求是用户对系统登录操作的时间最多为一个小时。一小时之后未退出者则网页实效。

4.3 适应性(运行环境,设计发生变化等应具有的适应能力。)

当操作系统平台发生变化或者支持软件学生选课系统发生变化时,导致选课系统运行时遇到不可恢复的系统错误,管理员可以运用已经备份的数据恢复系统,使系统恢复到最近的正常模式下,保护了已有数据的安全。

4.4 故障处理

正常使用时不应出错,若运行时遇到不可恢复的系统错误,也必须保证数据库完好无损。

调试中遇到的问题及解决的方案:

1)遇到跳出“数据库已经关闭“提示信息阻止程序运行时,可以查看一下进行此项操作时,操作的表是否已经被关闭了或者是在没有关闭此表的情况下又一次运用打开语句打开此表。

2)关于空记录带来的麻烦,有些空记录往往会使程序无法运行。此时在编程时可用“if not isnull”语句先判断一下是否为空记录,再操作。

5.系统运行要求分析5.1 用户界面

5.2 硬件界面

5.3 软件界面

5.3.1进入主界面

5.3.2个人选课界面

5.3.3所有课程信息界面

6. 其他要求(可使用性,安全保密,可维护)

可使用性:工作周期,24小时开放,在系统稳定(没有对系统维护)的情况下,服务器的可用性至少达到99%;当管理员对系统进行维护时,系统会在阻止访问,用户无法访问。

安全保密性:软件发现错误时,有错误提示,可以回复到正常状态。对关键输入数据的有效性检查比较完备。对系统的重要数据都有密码保护和密码校验功能,只有管理员拥有最高权限。

可维护性:使用数据库维护功能机制,定期对数据库进行备份。

7.附录

●类图:类图,是由类名,属性和函数组成的整体。

●顺序图:也称时序图,是显示对象之间交互的图,这些对象是按照时间排序

的。

●数据字典:有关对问题域重要的数据元素,结构和属性的定义的集合。

●状态图:用于描述一个对象在其生存期间的动态行为,表现一个对象所经

历的状态序列,引起因状态转移而伴随的动作。

●活动图:表示的是流程中的任务的执行,它可以表示算法过程中的语句的执

行。

●数据流图:一种分析模型,它描绘了过程,数据集合,端点以及他们之间的

流,这种流表现了业务过程或软件系统的行为特点。它与数据字典是密不可分的,两者结合起来构成软件的逻辑模型。

●结构图:用来描述软件系统的体系结构,指出一个软件系统由哪些模块组成,

以及模块之间的调用关系。

●功能性需求:对在某些特定条件下系统将展示的必需的功能或行为的陈述。

●Extend: 用例中的可选路径从正常的步骤序列中分叉的一种结构。将执行可

选路径时参与者遵循的步骤打包成一个扩展用例,调用此用例会执行可选动作。然后过程流与正常路径重新汇合,直到结束。

●用例:描述了执行者与系统之间逻辑上相关的可能交互集,系统的输出为执

行提供了价值。

●用户类:系统的一组用户,他们具有相似的特征和系统需求。当与系统交互

时,用户类的成员起执行者期望。

异常:阻止用例成功结束的一种条件。除非可能有恢复机制,否则无法得到用例的后置条件,也无法满足参与者的目的。

数电课设 简易洗衣机控制电路

课程设计任务书 学生姓名: 专业班级: 信息sy1101 指导教师: 工作单位: 信息工程学院 题 目: 简易洗衣机控制电路的设计与实现 初始条件:zzzzzzz 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示定时时间。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个电子定时器,控制洗衣机按如下洗涤模式进行工作: 2)当定时时间达到终点时,一方面使电机停机,同时用指示灯提醒用户。 3)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 4)用三只LED 灯表示“正转”、“反转”和“暂停”3个状态。 5)确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,阐述基本原理。画出电路原理图。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、 2013 年 5 月 16 日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、 2013 年 6 月 25 日至 2013 年 6 月 28 日,方案选择和电路设计。 3、 2013 年 6 月 29 日至 2013 年 7 月 3 日,电路调试和设计说明书撰写。 4、 2013 年 7 月 5 日,上交课程设计成果及报告,同时进行答辩。 指导教师签名: 年 月 日 停机

目录 摘要 (1) Abstract (2) 1.概述 (3) 1.1设计任务和要求 (3) 1.2设计的总体思路 (3) 2.总体方案及原理框图 (4) 2.1方案提出 (4) 2.2方案分析 (5) 3.单元电路设计与参数的计算 (6) 3.1 秒脉冲发生器 (6) 3.2 分秒计时器 (7) 3.3 数码显示器 (9) 3.4电机控制电路 (10) 3.5 报警电路 (13) 4、总体电路 (17) 5. 心得体会 (19) 附录一参考文献 (20) 附录二元件清单 (21) 附录三实物图 (22)

全自动洗衣机控制器

实验四全自动洗衣机控制器 一、实验目的 1.学习掌握全自动洗衣机的控制原理。 2.掌握基于有限状态机的控制电路设计方法。 二、预习要求 1.预习全自动洗衣机的控制原理和基于有限状态机的控制电路的设计方法。 2.画出洗衣机控制器包括不同洗衣模式的完整的状态转移图。 3.用Verilog HDL语言编程实现全自动洗衣机控制器,并进行时序仿真。 4.对顶层设计文件进行引脚锁定。 三、实验要求 1.设计一个全自动洗衣机控制器电路,实现对洗衣机的全自动控制。 根据全自动洗衣机的控制原理设计一个控制电路,使之能够控制全自动洗衣机完成整个工作过程。洗衣机工作过程分为两种情况: (1)全部自动完成 当按下复位按钮时,洗衣机上电,控制电路复位到初始状态(默认水位为“中”);使用者可根据衣服的多少,按下水位控制按钮,改变水位设置,以控制上水时加水的多少;当按下启动/暂停按钮时,洗衣机开始洗衣的第一个操作:进水阀门打开,开始上水,并根据水位设置(高、中、低、少)历时不同的时间timeadd(8s、7s、6s、5s);然后进水阀门关闭,电机开始运转,开始洗衣过程,并历时9s;然后电机停止运转,排水阀门打开,开始排水,并根据水位设置(高、中、低、少)历时不同的时间timedrain(7s、6s、5s、4s);然后排水阀门关闭,进水阀门打开,开始第二次上水,并历时timeadd……当甩干结束后,整个洗衣过程完成,扬声器发出持续15秒的急促的“嘀嘀”音,提示用户洗衣结束。正常运行状态下全自动洗衣机工作过程如图1. 1所示。 注意:在甩干过程中,电机一边高速旋转,一边排水。 图1. 1 正常运行状态下默认水位为“中”时全自动洗衣机工作过程从图中可以看出,洗衣机整个工作过程可分为9个状态,要求运用有限状态机的设计思想来实现。 (2)人工干预 在每个工作状态下,如果想要洗衣机暂停工作,可按下启动/暂停按钮,则洗衣机立刻暂停当时的操作。比如,在第一次加水过程中,若按下启动/暂停按钮,则进水阀门立刻关闭,暂停上水,计时暂停;当再次按下启动/暂停按钮,则进水阀门又打开,并继续计时,直到加水满timeadd后,进入洗衣过程。 洗衣机功能设置:

软件需求分析说明书模板

保密级别:S 资料编号:SRS-[产品代号] -[序列号] 版本:V[*].[*] [产品型号名称(二号字体)] [部件型号名称(可选、小二号字体)] 软件需求分析说明书 共11页 编制: 审核: 审定: 会签: 批准: XXXXXXXXXX公司 [****]年[**]月[**]日

文档修改记录

目录 1引言 (2) 1.1编写目的 (2) 1.2范围 (2) 1.3定义、首字母缩写词和缩略语 (2) 1.4参考资料 (2) 2项目概述 (3) 2.1产品描述 (3) 2.2产品需求 (3) 2.2.1功能需求 (3) 2.2.2性能需求 (4) 2.2.3可服务性需求 (4) 2.3用户及用户特点 (4) 2.4一般约束 (5) 2.5假设和依据 (5) 3用例描述 (5) 3.1用例1 (5) 3.2用例2 (6) 3.3用例n (6) 4外部接口需求 (7) 4.1用户接口 (7) 4.2硬件接口 (7) 4.3软件接口 (7) 4.4通信接口 (8) 5设计约束 (8) 5.1其他标准的约束 (8) 5.2硬件的限制 (8) 6属性 (8) 6.1可用性 (8) 6.2安全性 (9) 6.3可维护性 (9) 6.4可转移\转换性 (9) 6.5警告 (9) 7其他需求 (9) 7.1数据库 (9) 7.2操作 (10) 7.3场合适应性需求 (10) 8附录 (10)

[说明:本模板中的蓝色字体与橙色字体为说明性文字,在最终提交的文档中请删除这些说明性的文字。] 1 引言 1.1 编写目的 说明编写这份软件需求说明书的目的,指出预期的读者范围。 1.2 范围 说明: a.待开发的软件系统的名称; b.说明软件将干什么,如果需要的话,还要说明软件产品不干什么; c.描述所说明的软件的应用。应当: 1)尽可能精确地描述所有相关的利益、目的、以及最终目标。 2)如果有一个较高层次的说明存在,则应该使其和高层次说明中的类似的陈述相一致(例如,系统的需求规格说明)。 1.3 定义、首字母缩写词和缩略语 列出本文件中用到的专门术语的定义和缩写词的原词组。 1.4 参考资料 列出要用到的参考资料,如: a.本项目的经核准的计划任务书或合同、上级机关的批文; b.属于本项目的其他已发表的文件; c.本文件中各处引用的文件、资料,包括所要用到的软件开发标准。 列出这些文件的标题、文件编号、发表日期和出版单位,说明能够得到这些文件资料的来源。

海尔波轮全自动洗衣机操作使用说明

确认衣物有无特殊洗涤要求,不易吸水地衣物请用手按入水中. 清理衣袋,将硬币、砂子、发夹等物品取出 将长带打结、纽扣扣好、拉链拉好 洗涤物不应过量,投入前衣物展开抖松 洗衣机运转时,衣物地纽扣可能会发出声音,为慎重起见,将带有纽扣地衣物放在衣物当中洗涤剂地使用 洗衣粉 模糊程序(标准)及预约洗涤时,建议将洗衣粉直接投入洗衣粉盒使用 在洗衣机内直接融化(不适用于模糊程序(标准)及预约洗涤):注入少量水(选择最低水位)→放入洗衣粉,运转约秒钟,使之充分溶化后按动“启动暂停”→放入洗涤物,选择合适水位,再次按动“启动暂停”资料个人收集整理,勿做商业用途 准备约30℃地温水和容器→边搅拌边放入洗衣粉,使之充分溶化→将溶解液倒入洗衣机洗衣粉用量参考洗衣粉说明,建议不要使用高泡洗衣粉 漂白剂 注水至规定水位后,将漂白剂用容器稀释后,慢慢倒入液体洗涤剂注入口. 液体洗涤剂 将液体洗涤剂倒入液体洗涤剂注入口. 投放洗涤物 一次洗涤以放入适量洗涤物,洗涤过程中洗涤物能正常翻转为宜.1.0kg以下地布量不要选择高水位,以防水滴飞溅.资料个人收集整理,勿做商业用途 洗涤程序操作 操作流程:电源暂停→程序→水量→启动暂停 流程说明:.按动“电源开关”按钮,开启电源 .按动“水量”按钮:选择适合衣物洗涤地用水量,注意“快速”程序和“脱水”程序均无法选择水量. .按动“启动暂停”按钮

在脱水过程中,如打开上盖,洗衣机会停止运行并报警. 洗衣结束 洗衣过程结束后,洗衣机蜂鸣报警,然后自动断电. 注意事项: 不要洗涤雨衣、自行车罩等防水性衣物,以免异常振动或损坏衣物. 不要将如何热地、重地物品、湿地衣物放在洗衣机上,尤其是程序操作面板上. 不要使用50℃以上地热水洗衣物. 洗衣机正在工作时,请勿拔下电源插头或切换电源,更不能用湿手拔电源插头. 不要洗涤染有挥发性物质(稀料、汽油等)及化学品污染地衣物. 洗衣完毕,关闭自来水龙头. 请勿用水冲洗洗衣机. 洗衣机正在工作时,请勿将手伸入洗衣机桶内. 请勿在洗衣机桶内存水久置,每次使用完毕后,立即将水排净,将上盖敞开一段时间待桶内干燥后再合上上盖. 洗衣完毕后,请用软布将洗衣机上地水分及污渍擦拭干净. 细节提示 启动洗衣程序后,如发现水量不够,请先按动“启动暂停”按钮,重新选择洗衣“程序”或者选择注入“水量”,然后再按动“启动暂停”按钮开始洗涤.资料个人收集整理,勿做商业用途 在“洗涤”、“漂洗”地运行过程中,按住“水量”按钮进行补进水,手松开或到溢水水位时停止补进水. “程序”中“洗涤”、“漂洗”、“脱水”三灯常亮时表示常规地洗涤、漂洗、脱水过程. 洗涤过程中如需要单独使用“洗涤”程序,请先按动“启动暂停”按钮,再按动“程序”按钮选择“洗涤”程序,灯常亮时已表示选中,再按动“启动暂停”按钮开始洗涤,此时洗涤显示灯将呈现闪烁状态表示已进入洗涤程序.(其它“漂洗”、“脱水”程序操作方法相同).资料个人收集整理,勿做商业用途

数电课程设计——简易洗衣机控制器设计

简易洗衣机控制器设计

摘要 从课程设计要求来看,要求实现电机的正传、反转、暂停,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的分钟计数器、秒计数器是一定要有的。接下来脉冲是一定发热了,我们可以用555定时器构成的多谐振荡器来产生脉冲。除了这些,还要求在定时结束后有一个指示灯亮来提示定时时间到,还要求电路有清零和置数的功能,这些用逻辑电平开关即可以实现。

目录 第一章设计任务与要求 (4) 第二章基本原理 (5) 第三章设计方案 (6) 第四章单元电路的设计和元件的选择 (8) 4.1秒脉冲发生器 (8) 4.2分秒计数器 (10) 4.3时间译码及控制门 (12) 4.4总电路图 (13) 第五章仿真结果 (14) 5.1秒脉冲发生器 (14) 5.2分秒计数器 (14) 5.2.1正转 (14) 第六章真结果分析 (16) 第七章体会小结 (17) 第八章元器件清单 (18) 第九章参考文献 (19)

简易洗衣机控制器设计 第一章设计任务与要求 设计一个电子定时器,控制洗衣机按如图1洗涤模式进行工作 图1 洗涤模式 (1)当定时时间达到终点时,一方面使电机停机,同时发出音响信号(可换成指示灯)提醒用户注意。 (2)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 (3)三只LED灯表示“正转”、“反转”和“暂停”3个状态。

第二章基本原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。

电商系统需求分析说明书

电商系统需求分析说明书 一.引言 .....................................................错误!未定义书签。 项目背景.................................................错误!未定义书签。 前期工作.................................................错误!未定义书签。 参考资料.................................................错误!未定义书签。二.技术概述 .................................................错误!未定义书签。 目标.....................................................错误!未定义书签。 硬件支持.................................................错误!未定义书签。三.功能需求 .................................................错误!未定义书签。 功能块划分...............................................错误!未定义书签。 功能块描述...............................................错误!未定义书签。四.性能需求 .................................................错误!未定义书签。 数据精确度...............................................错误!未定义书签。 适应性...................................................错误!未定义书签。五.系统流程图 ...............................................错误!未定义书签。 顾客流程图如下...........................................错误!未定义书签。 订单处理流程说明........................................错误!未定义书签。六.数据流图 .................................................错误!未定义书签。 数据流图如下..............................................错误!未定义书签。 一.引言 项目背景 电商系统致力于提供产品展示及订购为核心的网上购物服务宣传自己商店的产品并将自己的产品展现给客户,让客户通过网站便能对自由的选择地购买产品。 该网站是通过用户登录浏览商品、查看公告、购买、确定购买、实现用户模 块功能。其中订单的生成,网站后台系统,通过系统管理员管理商品、订单、用户来实现。前期工作 我们在编写该需求前,首先是对各大网上销售网站进行了调查,其中包括:网页排版、顾客消费流程、以及管理员的操作,这三大块进行了调查。并总结出了有自 己特色的设计思路。 参考资料 《软件需求分析》《网上商城需求分析计划书》。

数电课程设计 洗衣机定时控制器

数字电子技术课程设计报告题目:洗衣机定时控制器 班级:

洗衣机定时控制器 一、设计任务及要求: 1.设计脉冲信号源(秒脉冲) 2.至少能显示 1:00—12:59 3.具备校时功能 4.附加特殊功能设计(报时功能) 二、方案设计与论证: 所谓数字钟,是指利用电子电路构成的计时器。相对机械钟而言,数字钟能达到准确计时,并显示小时、分、秒,同时能对该钟进行调整。在此基础上,还能够实现整点报时的功能。其中有振荡器,分频器,校时电路,报时电路,计数器,译码器和显示器七部分组成。振荡器和分频器组成标准秒信号发生器,不同进制的计数器产生计数,译码器和显示器进行显示,通过校时电路实现对时,分的校准 方案一:使用 COMS 数字芯片,使用专用时钟芯片,使用十进制计数器,以及使用万用板焊接电路,分模块搭建电路,使用专用电源供电。优点:计时准确,反应灵敏,思路简单,性能稳定,成功率高,便于调试。缺点:驱动能力弱,走线复杂,对数电知识的利用并不充分。

方案二:使用 TTL 数字芯片,使用 74LS93 多进制计数器,用 555 定时器自建时钟模块,使用 USB 供电,使用 PCB 制板。优点:电路驱动能力强,不必考虑输入脚悬空的问题,充分利用了模电、数电的知识,外观漂亮,供电方便。缺点:整体布局比较麻烦,排查错误比较麻烦,时钟性能一般。在比较两个方案的优缺点后,选择了第二个方案,进行由上而下层次化的设计,先定义和规定各个模块的结构,再对模块内部进行详细设计。通过仿真,原理图设计,PCB 制作,分步骤调试,来解决方案二的不足。使做出来的效果又好,又能充分利用学过的数电知识。可以体现数电课设的真正内涵。我们设计的电子钟,严格按照设计要求,具有整点报时,调时,调分等功能;特别是,我们的调时调分开关,都加上了消抖电路,使用了模拟电路消抖,省去了一些数字芯片,这些都是我们组,区别于其他组的地方。 设计原理及框图 定时控制器实际上是一个对标准频率进行计数的计数 电路,由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的 1kHZ 时间信号必须做到准确稳定。构成方框图如下:

简易洗衣机控制器的设计与制作教学文案

题目:简易洗衣机控制器的设计与制作 专业班级:班 学生姓名:学号: 指导老师:审批: 任务书下达日期2007年1月8日星期一 设计完成日期2007年1月19日星期五 设计内容与设计要求 一、设计内容 设计并制作一个电子定时器,用以控制洗衣机电机的运转,要求如下:1. 定时到 洗衣机电机的工作顺序:

启动——>正转20s——>暂行10s——>反转20s——>暂行10s——>停止 ^ I______________________________________I 定时未到 2.用4个LED模拟洗衣机的动作状态:LED1~LED4右移循环点亮表示正转,LED1~LED4左移循环点亮表示反转,LED1~LED4同时闪烁点亮表示暂停,全灭为停止。 3.用数码管显示洗涤时间,按倒计时方式对洗涤过程作计时显示,直到时间到停机,并发出音响信号报警。 4.洗涤时间在0-60分钟内可由用户任意设定,并设置启动键,在预置定时时间后,按启动键开始机器运转。 5 .设置停止键,在洗涤过程中随时按该键可终止动作,并使显示器清0。 二.设计要求: 1.设计思路清晰,给出整体设计框图; 2.设计各单元电路,给出具体设计思路、电路器件; 3.总电路设计; 4.安装调试电路; 5.写出设计报告;

主要设计条件 1. 提供直流稳压电源、信号源、示波器等仪器; 2. 提供各类TTL集成电路芯片、电阻、电容及插接件等元器件。 3. 提供电子综合实验装置。 说明书格式 1、课程设计封面; 2、课程设计任务书; 3、说明书目录; 4、设计总体思路,基本原理和框图;

5、单元电路设计(各单元电路图); 6、总电路设计(总电路图); 7、安装、调试步骤; 8、故障分析与电路改进; 9、总结与设计调试体会; 10、附录(元器件清单); 11、参考文献; 12、课程设计成绩评分表。 注意:课程设计报告说明书要求用16开纸打印! 进度安排 第一周: 星期一上午安排任务、讲课。 星期一~星期二:查资料、设计 星期三上午:领元件〈四楼电子综合实验室〉 星期三~星期五单元电路设计和仿真、调试电路; 第二周: 星期一~星期四安装调试电路;〈四楼电子综合实验室〉星期五写总结报告,答辩;

全自动洗衣机控制系统设计开题报告精选文档

全自动洗衣机控制系统 设计开题报告精选文档
TTMS system office room 【TTMS16H-TTMS2A-TTMS8Q8TTMSHHJ8】

重庆科技学院
毕业设计(论文)开题报告
题目 全自动洗衣机控制系统设计
学 院 电气信息工程学院
专业班级 自升本 2011-1
学生姓名 黄浩然 学号
指导教师
张跃辉
年月日
开题报告填写要求
1.开题报告作为毕业设计(论文)答辩委员会对学生答辩资格审查的依据材料之一。 此报告应在指导教师指导下,由学生在毕业设计(论文)工作开始后 2 周内完成,经指导 教师签署意见及系主任审查后生效。
2.开题报告内容必须用黑墨水笔工整书写或按教务处统一设计的电子文档标准格式 (可从教务处网址上下载)打印,禁止打印在其它纸上后剪贴,完成后应及时交给指导教 师签署意见。
3.学生查阅资料的参考文献理工类不得少于 10 篇,其它不少于 12 篇(不包括辞典、 手册)。
4.“本课题的目的及意义,国内外研究现状分析”至少 2000 字,其余内容至少 1000 字。

毕业设计(论文)开题报告
1.本课题的目的及意义,国内外研究现状分析
1)本课题目的及意义
随着科技的迅速发展,人民生活水平的不断提高,洗衣机的发展也变得十分 迅速。人们对洗衣机提出了更高的要求,性能更好,操作更简单,更节能,智能 化的实现等。所以现代的洗衣机控制从以前的机械式,继电器式,渐渐的向电气 电子式发展。
以前的洗衣机都存在一些问题,比如:对衣物的磨损和伤害大,噪声大,耗 电量大,耗水量大,洗净度较低,操作复杂和稳定性差等问题。为了改善传统洗 衣机的性能,本次课题将解决这些问题,来提高洗衣机的洗净率、降低磨损率、 噪声,做到节能环保,使操作更加简单和稳定性更好。
目前市场上大多数洗衣机都是采用单片机作为控制器,因为单片机成本低, 体积小巧、功耗低,操作方便;但是单片机对环境的适应能力较低,可靠性差, 编写程序相对复杂,且硬件的复杂性高,增大了维修的难度和成本费用。
本次课题采用 PLC 作为洗衣机控制器,PLC 工作的环境要求低,可靠性高, 抗干扰能力强,编程简单,容易受计算机控制;PLC 是整体模块,集中了驱动电 路、检测电路和保护电路及通讯连网功能,使硬件相对简单可靠,维护起来更加 的方便。但是 PLC 的成本相对较高,只适合在工业中运用而不适合民用。
本次设计采用 S7-200PLC 作为洗衣机控制器,通过传感器(水位传感器,浊 度传感器)对水位,洗衣浊度进行监测,编写 PLC 程序对电动机转向、洗衣机电 磁阀门的开度和开关进行控制,并且能够实现自动调节时间,选择洗涤方式,控 制水位等。
本课题主要着重于对全自动洗衣机的控制,要求洗衣机能实现进水、洗涤、 排水、脱水、自动停止的循环过程。让洗衣机工作更加稳定,操作简单可靠,提 高衣服洗净度,让洗衣机更加智能节能化。

OA系统需求规格说明书

XX项目 产品需求规格说明书 机构公开信息

版本历史

1.引言 该文档主要包含功能性需求分系以及功能用例图,也包括了一些对用户界面的要求,该系统运行所需环境和产品质量需求。 1.1. 文档目的 该文档重点描述的办公自动化系统的功能需求以及功能用例图,能够供读者更好的了解该系统;其中,非功能需求方面,用户界面要求主要是为了是系统的界面更加统一规范,软硬件环境需求以及产品质量需求是为了保证提供给用户尽量完美的办公自动化系统。 1.2. 文档范围 本文档包含一下几部分: 1. 产品介绍 2. 角色功能划分 3. 产品范围 4. 产品的功能性需求 5. 产品的非功能性需求 1.3. 文档读者对象 该文档适合开发人员、项目经理、用户、文档的编写人员阅读。 1.4. 参考文档 列举了编写软件需求规格说明时所参考的资料或其它资源。 1.5. 术语与缩写解释 2.综合介绍 这一部分概述了正在定义的软件,主要是功能的概要介绍。

1.6. 产品介绍(功能介绍) 该系统包含8各模块:超级管理模块,该模块包括组织管理、权限管理、考试管理、资源共享通讯录和系统管理;我的办公桌模块,主要是对各重点模块的简要显示;行政管理该模块包括公共通知、公共计划、记事本、员工考勤和组织机构;个人助理模块,该模块包括通讯录、短消息、日程安排和个人信息管理;个人邮箱,该模块包括配置邮箱和收发邮件;公共信息模块,该模块包括资源下载、在线考试和公共通讯录;人事管理模块,该模块包括档案管理、档案查询和数据维护;销售管理模块,该模块主要包括客户管理、销售管理和供应商管理。 1.7. 产品范围 OA办公自动化系统集人力资源管理以及进销存等管理于一体的商业企业管理软件系统。本产品是为了帮助企业更好的进行管理,实现办公自动化。该产品适用于所有企业的办公需求。 1.8. 用户介绍 确定你觉得可能使用该产品的不同用户类并描述它们相关的特征。有一些需求可能只与特定的用户类相关。 1.9. 角色功能划分 XXXXX拥有XXXX功能的权限。 XXXXX拥有XXXX功能的权限。 1.10. 设计和实现上的限制 确定影响开发人员自由选择的问题,并说明这些问题为什么成为一种限制。 1.11. 假设和依赖 列举出在对软件需求规格说明中影响需求陈述的假设因素(与已知因素相对立)。这可能包括你打算要用的商业组件或有关开发或运行环境的问题。你可能认为产品将符合一个特殊的用户界面设计约定,但是另一个S R S 读者却可能不这样认为。如果这些假设不正确、不一致或被更改,就会使项目受到影响。

洗衣机使用说明书

1.使用前须知 连接进水管 1.选择适合的水龙头(前段长度必须大于10mm,若水龙头出口端面不平整,请用锉刀锉平, 以免漏水) 2.取下进水管接头①②③④ ①按住锁紧杆下端; ②握住进水管,向下压滑动器,取下进水管接头; ③接下标记牌。 3.安装进水管接头 ①拧动螺母,露出3至4圈螺纹; ②将进水管接头的四颗螺钉拧松至可将进水管接头套在水龙头上; ③如果进水管水龙头无法套在水龙头上,请取下衬套在安装; ④将其中一个螺钉事先旋到大致适合位置,在将进水管套在水龙头上,将其余三颗螺钉均匀拧紧,确认到位后,旋紧螺母。 4.连接进水管 ①压下滑动器,将水管插入进水管接头; ②用锁紧杆挂住水管接头,然后松开滑动器,直到听到“啪”的一声。 5.连接洗衣机 ①将进水管另一端的螺母套到进水阀接头上; ②拧紧进水管螺母并确认螺母紧固到位。 6.检查进水管是否连接好 ①检查连接是否牢固; ②安装完毕后,打开水龙头,检查是否漏水; ③每次使用洗衣机前,请检查确认进水管与水龙头连接牢靠 ④切勿强力弯曲进水管。 粘贴毛毡,安装降噪板 1.将毛毡粘贴在降噪板的一侧。 2.将洗衣机向后倾斜,卸下四个底脚。 3.降噪板附有毛毡的一面朝内,将将底脚螺栓穿过四个孔装在壳体上旋紧,重新放平机器。排水管 排水管出水端高度必须大于80cm,最高点必须小于100cm,为防止排水管脱落,可将排水管固定在机器后的排水管卡上或使用排水支架固定,建议不要加长排水管,加长部分若超过,易出现边进水边排水现象。延长排水管,请联系本公司售后服务部门。 调整底脚 使用之前应先调节四个底脚,使洗衣机处于平衡状态。 底脚调节完毕后,要把底脚支撑螺母旋至紧贴壳体位置处,以支撑洗衣机。 分配器盒 (每次只放入一次洗涤所需的洗涤剂) 预洗洗涤剂投入室 主洗洗涤剂投入室 软化剂,漂白剂投入室 选择预洗的功能时,在预洗室投入洗涤剂预先洗涤衣物; 洗涤剂的用量请参照洗涤剂的使用说明,过量的洗涤剂会产生大量泡沫,易溢出,且影响洗涤剂的溶解;应使用滚筒洗衣机专用低泡洗涤剂,若洗涤合成或羊毛织物时,则应该用

全自动洗衣机控制系统概况

合肥学院 计算机科学与技术系 微机原理与接口技术 课程设计 课程设计科目全自动洗衣机控制系统 学生姓名 学号 班级 指导教师高玲玲、肖连军

1、题意分析与解决方案 1.1 题意需求分析 根据以上题目所给的提示,我们对其进行解析:首先,刚开始的时候系统处于初始状态,准备好启动,然后一声蜂鸣表明洗衣机已经进入工作状态。当按下暂停键之后,9s的放衣服时间,然后选择洗衣周期,然后我们进入了洗衣状态。在洗涤的过程时,打开进水阀(此过程就是注水的过程),当到达预定水位时,按下水位开关,然后电机MO转动,在洗涤的过程中电机正反转三次后停止转动。然后进入脱水的过程,此时我们要打开排水阀,然后使电机正转,脱水结束后,电机停止转动。漂洗过程和洗涤的过程相似,只是在漂洗的时候,是把电机转动的次数改成正反转两次。甩干的过程和脱水的过程相似,只是电机转动的时间比脱水过程长一些。 从题意需求分析本课程设计需要解决的问题如下: (1)怎样用程序实现电机的正转反转; (2)我们怎么样在全速运行的条件下知道程序已经进入到哪一个步骤; (3)怎样分配按键,使程序尽可能的全自动化。 1.2 解决问题方法及思路 1.2.1硬件部分 本课程设计具体要求如下: (1)进水阀由继电器模拟; (2)洗衣流程进展过程由LED等指示; (3)预设水位由按键控制; (4)波轮旋转由电机控制。 此次课程设计中,我们在程序运行时,需要用到按键,所以对按键的分配如下:

表1-1 按键分配表 K1 暂停开关0 关闭 1 开启 K2 洗衣程序选择0 标准洗衣 1 经济洗衣 K3 水位开关0 低水位 1 高水位 本实验中我们要用到的硬件主要是8255A、LED指示灯、继电器、八路二进制开关、步进电机、蜂鸣器。 我们将LED指示灯接在8255A的PA口,而LED指示灯在此次实验过程中的作用就是指示洗衣流程进展(第5个灯亮表示在洗涤的过程,第6个灯亮表示在脱水的过程,在第7个灯亮表示在漂洗的过程,第8个灯亮表示在甩干的过程)和提示我们下一步应该怎样按键。将八路二进制开关接在8255A的PB口上,八路二进制开关在本实验中的作用是模拟洗衣机面板上的按键和水位开关的作用。将步进电机的A、B、C、D四相分别按顺序接到PC口的PC0~PC3上,本次实验过程中采用的是四相八拍的步进电机。将蜂鸣器接在PC口的PC4上,蜂鸣器的作用主要是提示我们洗衣机已经启动和洗衣结束。将继电器接入到PC口的PC5上,继电器在本次实验过程中的主要作用是模拟进水阀。 1.2.2软件部分 8255A是一个可编程芯片,我们可以通过程序对8255A芯片进行编程来实现本次课程设计所要求实现的所有功能。在本次实验中我们需要在程序中实现对电机的转动。为了能实现洗涤过程(此过程要求电机正转和反转),所以我们采用的是步进电机,实际应用中步进电机的类型有很多种,然而我们的实验箱上是四相的步进电机,在实验中我采用的是四相八拍的控制,当我们使其从A→AB→B →BC→C→CD→D→DA,这样可以实现电机的正转,当使他从DA→D→CD→C →BC→B→AB→A,这样就可以实现电机的反转,这个过程就是通过程序对PC 口的PC0~PC3进行设置的。排水阀我们是用继电器来模拟的,实验箱上的继电器是低电平工作,所以如果我们要用到继电器即要打开进水阀时,我们只要对PC口的PC5进行设置。在本实验中,我们要使用蜂鸣器来提示洗衣机工作和洗衣结束,这个过程也是通过程序来实现的,我们只要将PC4设置成低电平,蜂鸣器就开始工作了。

系统需求规格说明书 (1)

XXX系统或XXX项目 产品需求规格说明书 版本信息 注:状态可以为N-新建、A-增加、M-更改、 对方的所得税说明:版本信息必须更新,审核人和审核时间也必须审核后填写,审核人要求部门经理级别以上。否则开发测试可拒绝评审。审核业务功能是否有遗漏、业务流程是否符合规划、关键业务逻辑是否有合理 目录

1.关于本文档 1.1.内容说明 说明:此处描述的是文档说明,产品需求文档更新需要走修订模式,下次更新前先接受修订,并且每次更新必须更新版本号和版本记录。 例子: 本文档用于描述苏宁开放平台物流状态服务系统的需求定义。包括各个需求的功能描述,处理逻辑规则,界面定义,与其它功能的关系,与其它系统的接口等各个方面的定义。是苏宁物流状态服务系统唯一的全面需求定义文档。 本文档将根据需求管理流程和要求,随系统功能变化进行及时的修订和更新,以确保本文档的全面性,准确性和实效性。因此在阅读使用此文档时,请注意从项目的文档管理系统中获取最新版本。 1.2.名词解释

1.3.参考文档 《系统需求定义规范使用说明》 2.系统概述 2.1.业务背景 说明:此处描述业务背景,不可裁剪,清晰的业务背景描述能更好的帮助研发和测试理解产品需求,明确业务测试场景,此部分是产品需求定位的核心导向。 例子一:电子面单的业务描述 随着电子商务服务和物流服务信息化飞速发展,包裹运单号成为快递公司串联快递单、订单、商家、商品等各种信息的枢纽。相比之下,传统纸质面单价格高、信息录入效率低、信息安全隐患等方面的劣势已愈发凸显。我司在两年前就开始了电子面单在自营物流上的应用,经过长期的的磨合和积累,目前将我司的应用经验推广到社会物流上,让社会上愿意与我司物流合作的伙伴,也同样享受到我司电子面单服务。 例子二:LSQ的业务描述 物流作业状态服务存在不足 1)服务无标准不统一 需物流作业的各渠道订单,作业状态转化为文案描述处理的逻辑系统多,且处理规不统一, -B2C自营订单,逻辑在B2C,数据源在OMS -菜鸟平台/4PS平台订单状态展示,逻辑在LAPI,数据源在LAPI

数字逻辑实验——洗衣机定时控制器设计报告

数字逻辑综合性实验设计报告 课程名称数字逻辑实验 题目名称洗衣机定时控制器 班级 20150615 学号 2015061506 学生姓名黄伟 同组班级 20150615

同组学号 2015061502 同组姓名郭伟 指导教师武俊鹏、孟昭林、刘书勇、赵国冬

摘要 关键词:预置洗涤时间;倒计时显示;发出信号提醒用户;洗衣机状态转换提示功能;甩干功能 洗衣机已经进入了千家万户,其控制逻辑和功能也为人熟知,本课题要求设计一个带有洗涤时间设定并显示功能的简易洗衣机控制电路,当时间到后,报警提醒。根据要求,该电路需要有三个基础功能:第一,能在10分钟内自行设定洗涤时间;第二,用三位数码管显示预置洗涤时间,并对洗涤过程做倒计时显示,直到时间到零;第三,当时间到达终点时,会发出信号提醒用户注意。 在实现了基础功能的基础上,我们小组又扩展添加了三个功能:第一,在洗衣机洗衣功能进行到倒数第九秒时,会有一个小灯亮起提醒用户洗衣即将结束,且洗衣结束后,该灯保持闪亮直至洗衣功能被关闭;第二,为洗衣机状态转换提示功能,每十秒让设定好的小灯闪亮一次;第三,我们增加了甩干功能,因为,用户可能只需要甩干,所以甩干功能并未与洗衣功能自动连接起来,在甩干的倒数第八秒时,设定好的一个小灯会闪亮一下,提醒用户甩干即将完成,甩干结束后,此小灯会保持闪亮直至甩干功能被关闭。 该实验用到八种芯片,即74LS192、74LS11、74LS00、74LS04、74LS08、74LS27、74LS32、7490。主要用到了反馈预置法,实现了六十进制,结合了组合逻辑电路

与时序逻辑电路,以1hz的连续脉冲控制整个电路的运转,较好实现了洗衣机定时控制器常见的功能。

基于VHDL语言的简易洗衣机控制器

电子课程设计 ——简易洗衣机控制器设计 学院: 班级: 姓名: 学号: 指导老师: 2013年12月

目录 第一部分:设计任务与要求 (1) 第二部分:总体框图 (1) 第三部分:选择器件 (2) 第四部分:功能模块 (3) 4.1时间预置编码寄存模块(settime) (3) 4.2减法计数器模块(counter) (4) 4.3数码管显示模块(showtime) (7) 4.4时序电路模块(analyse) (9) 4.5译码器模块(move)……………………………………… 1 1 第五部分:总体设计电路图 (13) 5.1总体(顶层)设计电路图 (13) 5.2顶层文件仿真 (13) 5.3管脚分配图 (14) 5.4硬件实验效果图 (14) 第六部分:课程设计心得体会 (15)

简易洗衣机控制器设计 一、设计任务与要求 设计一个洗衣机洗涤程序控制器,控制洗衣机的电动机按下图所示的规律运 转: 时间到 用两位数码管预置洗涤时间(分钟数),洗涤过程在送入预置时间后开始运转,洗涤中按倒计时方式对洗涤过程作计时显示,用LED 表示电动机的正、反转,如果定时时间到,则停机并发出音响信号。 二、总体框图 RUN REV PAUSE time_over clk K5 start K6 load K1 K2 K3 K4 各个部分的具体功能描述如下: (一)预设时间和编码电路(settime ):接受用户通过按钮预置的时间信息,编码 译码驱动模块(move) clk out_1 out_2 start 时序电路模块(analyse) clk time_over start 十进制减法计数器模块(counter) 洗涤预置时间编码寄存电路模块(settime) 报警信号 时间显示模块(showtime ) 定时启动 停止 正转 暂停 反转 暂停

全自动洗衣机的PLC控制

课题二全自动洗衣机的PLC控制 一、概述 洗衣机的应用现在比较普遍。全自动洗衣机的实物示意图如图所示。 全自动洗衣机的洗衣桶(外桶)和脱水桶(内桶)是以同一中心安放的。外桶固定.作盛水用。内桶可以旋转.作脱水(甩水)用。内桶的四周有很多小孔.使内外桶的水流相通。该洗衣机的进水和排水分别由进水电磁阀和排水电磁阀来执行。进水时.通过电控系统使进水阀打开.经进水管将水注入到外桶。排水时.通过电控系统使排水阀打开.将水由外桶排出到机外。洗涤正转、反转由洗涤电动机驱动波盘正、反转来实现.此时脱水桶并不旋转。脱水时.通过电控系统将离合器合上.由洗涤电动机带动内桶正转进行甩干。高、低水位开关分别用来检测高、低水位。启动按钮用来启动洗衣机工作。停止按钮用来实现手动停止进水、排水、脱水及报警。排水按钮用来实现手动排水。 二、设计任务和要求 该全自动洗衣机的要求可以用流程图来表示。 PLC投入运行.系统处于初始状态.准备好启动。启动时开始进水.水满(即水位到达高水位)时停止进水并开始正转洗涤。正转洗涤15 s后暂停.暂停3 s后开始反转洗涤。反转洗涤15s 后暂停.暂停3 s后.若正、反洗涤未满3次.则返回从正转洗涤开始的动作;若正、反洗涤满3次时.则开始排水。排水水位若下降到低位时.开始脱水并继续排水。脱水10s即完成一次从进水到脱水的工作循环过程。若未完成3次大循环.则返回从进水开始的全部动作.进行下一次大循环;若完成了3次大循环.则进行洗完报警。报警10s结束全部过程.自动停机。’此外.还要求可以按排水按钮以实现手动排水;按停止按钮以实现搬运.停止进水、排水、脱水及报警。

三、设计方案提示 1.I/O地址 输入输出 :启动按钮:进水电磁阀 Xl:停止按钮:电动机正转接触器 :排水按钮:电动机反转接触器 :高水位开关:排水电磁阀 :低水位开关:脱水电磁阀 :报警蜂鸣器 2.方案提示 ①用基本指令、定时指令和计数指令组合起来设计该控制程序。 ②用步控指令实现该控制。

系统项目需求分析说明书

CRM客户关系管理 ——项目需求分析说明 江苏淮微技术中心 Jiangsu Microsoft Technology Center

第一部分引言 1.1编写目的 本规格说明描述了CRM项目的需求,作为系统设计、实现目标及验收的依据,通过该需求分析,描述用户的具体需求,定义需求具体的规格和内容。并且作为各方面沟通的依据,也作为下一步工作提供基准。 软件开发小组的每一位成员应该阅读本需求说明,以明确项目最后要求完成的软件产品的特点,经使用方认可的需求说明将作为产品特征评价、仲裁的重要参考。 1.2适用范围 本文档主要设计CRM的应用模型和功能需求描述。 1.3背景 A、软件系统的名称:CRM客户关系管理系统 B、任务提出者:中文名称(英文) 开发者:江苏淮微技术中心(Jiangsu Microsoft Technology Center) C、本系统目前是独立的系统,暂不与江苏淮微技术中心的其他软件系统提供接口,所产生的输出也将是独立的。 最终用户可通过互联网或局域网以多种方式使用本系统。 本系统将使用SQL Server2005作为数据库存储系统,SQL Server2005软件由用户自行提供 1.4 术语、定义和缩写 定义:CRM 客户关系管理系统是把有关市场和客户的信息进行统一管理、共享,并能进行有效分析的处理的新型应用系统,它为企业内部的销售、营销、客户服务等提供全面的支持。 缩写:CRM

1.5文档概述 本文档主要描述了CRM的外部接口需求、功能需求以及其他非功能需求 1.6参考资料 相关的文件包括: A、江苏淮微技术中心《CRM项目开发计划》; 参考资料: A、国家标准《软件需求说明书(GB856T——88)》 B、《软件工程》 C、《设计模式》 D、《CRM客户关系管理系统》 第二部分任务概述 2.1目标 CRM 客户关系管理系统是把有关市场和客户的信息进行统一管理、共享,并能进行有效分析的处理的新型应用系统,它为企业内部的销售、营销、客户服务等提供全面的支持。具体说来,系统的目标包括: 客户管理 事物管理 销售管理 采购管理 商务管理 服务管理 汇总中心 权限管理

洗衣机定时器

电子技术基础课程设计 2012年1月 目录 1设计任务--------------------------------- --------1 2基本原理及总体方案框图------------------ ---------1 3单元电路的设计和元件的选择-------------- ---------2 3.1秒脉冲发生器---------------------------------------2 3.2分秒计数器-----------------------------------------4 3.3显示电路-------------------------------------------6 3.4状态控制电路---------------------------------------8

3.5停止控制电路--------------------------------------9 4 总体原理图--------------------------------------10 5 仿真结果------------------------------------------------11 5.1多谐振荡器输出波形----------------------------------11 5.2定时仿真结果----------------------------------------11 5.3正转仿真结------------------------------------------12 5.4反转仿真结------------------------------------------12 5.5暂停仿真结果----------------------------------------13 5.6停止仿真结果----------------------------------------13 6. 总结及心得体会---------------------------------14 7 元件清单---------------------------------------14 8 参考文献---------------------------------------15

相关文档
最新文档