SPI通信的总结

SPI通信的总结
SPI通信的总结

STM32---SPI通信的总结(库函数操作)

本文主要由7项内容介绍SPI并会在最后附上测试源码供参考:

1.SPI的通信协议

2.SPI通信初始化(以STM32为从机,LPC1114为主机介绍)

3.SPI的读写函数

4.SPI的中断配置

5.SPI的SMA操作

6.测试源码

7.易出现的问题及原因和解决方法

一、SPI的通信协议

SPI(Serial Peripheral Interface)是一种串行同步通讯协议,由一个主设备和一个或多个从设备组成,主设备启动一个与从设备的同步通讯,从而完成数据的交换。SPI 接口一般由4根线组成,CS片选信号(有的单片机上也称为NSS),SCLK时钟信号线,MISO数据线(主机输入从机输出),MOSI数据线(主机输出从机输入),CS 决定了唯一的与主设备通信的从设备,如没有CS 信号,则只能存在一个从设备,主设备通过产生移位时钟信号来发起通讯。通讯时主机的数据由MISO输入,由MOSI 输出,输入的数据在时钟的上升或下降沿被采样,输出数据在紧接着的下降或上升沿被发出(具体由SPI的时钟相位和极性的设置而决定)。

二、以STM32为例介绍SPI通信

1.STM32f103 带有3个SPI模块其特性如下:

2SPI 初始化

初始化SPI 主要是对SPI要使用到的引脚以及SPI通信协议中时钟相位和极性进行设置,其实STM32的工程师已经帮我们做好了这写工作,调用库函数,根据自己的需要来修改其中的参量来完成自己的配置即可,主要的配置是如下几项:

引脚的配置

SPI1的SCLK, MISO ,MOSI分别是PA5,PA6,PA7引脚,这几个引脚的模式都配置成GPIO_Mode_AF_PP 复用推挽输出(关于GPIO的8种工作模式如不清楚请自己百度,在此不解释),如果是单主单从,CS引脚可以不配置,都设置成软件模式即可。

通信参数的设置

1.SPI_Direction_2Lines_FullDuplex把SPI设置成全双工通信;

2.在SPI_Mode 里设置你的模式(主机或者从机),

3.SPI_DataSize是来设置数据传输的帧格式的SPI_DataSize_8b是指8

位数据帧格式,也可以设置为SPI_DataSize_16b,即16位帧格式

4.SPI_CPOL和SPI_CPHA是两个很重要的参数,是设置SPI通信时钟

的极性和相位的,一共有四种模式

在库函数中CPOL有两个值SPI_CPOL_High(=1)和SPI_CPOL_Low ( =0). CPHA有两个值SPI_CPHA_1Edge (=0) 和SPI_CPHA_2Edge(=1)

CPOL表示时钟在空闲状态的极性是高电平还是低电平,而CPHA则表示数

据是在什么时刻被采样的,手册中如下:

我的程序中主、从机的这两位设置的相同都是设置成1,即空闲时时钟是高电平,数据再第二个时钟沿被采样,实验显示数据收发都正常。

(要特别注意极性和相位的设置否则,数据传输会出现错位的现象)

一般主从机的这两个位要设置的一样,但是网上也有人说不能设置成一样的,在后文中我对主从机极性和相位的配置的16种情况都做了测试,结果见下文。

下图很好的描述了4种模式下的时序状况

引用网友的一句话::

“SPI主模块和与之通信的外设备时钟相位和极性应该一致。个人理解这句话有2层意思:其一,主设备SPI时钟和极性的配置应该由外设的从设备来决定;其二,二者的配置应该保持一致,即主设备的SDO同从设备的SDO配置一致,主设备的SDI同从设备的SDI配置一致。因为主从设备是在SCLK的控制下,同时发送和接收数据,并通过2个双向移位寄存器来交换数据。”

5.SPI_BaudRatePrescaler 波特率的设置

这在主机模式中,这一位的设置直接决定了通信的传输速率,而从机的设置不会影响数据传输的速率,手册中有这样一句话:

6.SPI_FirstBit 这一位是设置首先传输的高字节还是低字节

SPI_FirstBit_MSB 是先传输高字节,SPI_FirstBit_LSB 是先传输低字节

注意在初始化函数里还有两项重要的内容就是在初始化之前先使能SPI的时钟和在初始化配置完成后使能SPI。

(………..初始化配置……………)

三、SPI的读写函数

SPI有一个16位的数据寄存器SPI_DR,它对应两个缓冲区,1个发送缓冲区,1个接收缓冲区,当在控制寄存器里SPI_CR1里对DFF位设置数据帧格式为8位时,发送和接收只用到SPI_DR[7:0]这8位,15-8位被强制为0,帧格式设置成16位时全用。

读写过程在手册中是这样描述的:

简而言之,

发送时,可以通过检测SPI_SR中的TXE位,当数据寄存器里有数据时,TXE 位是0,当数据全部从数据寄存器的发送缓冲区传输到移位寄存器时TXE 位被置1,这时候可以再往数据寄存器里写入数据。可以通过

while (SPI_I2S_GetFlagStatus(SPI1, SPI_I2S_FLAG_TXE) == RESET) 来检测。

SPI_I2S_GetFlagStatus(SPI1, SPI_I2S_FLAG_TXE 是库函数可以检测SPI的一些状态位。接收时

可以通过检测SPI_SR中的RXNE位,当数据寄存器里有数据时,RXNE位是0,当数据全部从数据寄存器的接收缓冲区传输到移位寄存器时RXNE位被置1,这时候可以从数据寄存器里读出数据。可以通过

while (SPI_I2S_GetFlagStatus(SPI1, SPI_I2S_FLAG_RXNE) == RESET); 来检测。源程序如下,SPI 读写一个字节,读写一体

当能成功发送和接收一个字节时,发送数组数据就变的简单了,只需要一个for循环,和指针变量的递增即可。以下仅为参考:

(有一点特别注意,从机数据传输时要依赖主机的时钟,所以主机在接收从机发送的数据时要往从机发送哑巴字节,这个字节可以自己定义0xff,0xfe等什么字节都可以)

读写分开的函数:

/*

Description:spi1通信发送数据

*/

void SPI_Ecah_Buffer_Send(u8* pBuffer, u16 NumByteToRead)

{

for(int i = 0; i < NumByteToRead; i++)

{

SPI_Conmunication_SendByte(*pBuffer);

pBuffer++;

}

}

/*

Description:spi1通信接收收据

*/

void SPI_Buffer_Receive(u8* pBuffer, u16 NumByteToRead)

{

while (NumByteToRead--) /* while there is data to be read */

{

/* Read a byte from the FLASH */

*pBuffer = SPI_Conmunication_SendByte (Dummy_Byte);

/* Point to the next location where the byte read will be saved */

pBuffer++;

}

}

读写一体的函数

/*

Description:spi1通信发送接收读写数据

*/

void SPI_Ecah_Buffer_Send(u8* str , u8* pBuffer, u16 NumByteToRead)

{

for(int i = 0; i < NumByteToRead; i++)

{

*str = SPI_Conmunication_SendByte(*pBuffer);

pBuffer++;

str++;

}

}

四、SPI的中断配置

在SPI的SPI_CR2 中可以配置,STM32的SPI的通信一共有8个中断其中最常用的是如下4个。

TXEIE:发送缓冲区空中断使能

在发送过程中,数据全部从数据寄存器的发送缓冲区传输到移位寄存器时TXE位被置1这时如果使能了TXEIE 就会触发发送完成的中断请求。在中断服务函数里可以做你想做的事情,也可以用一个标志位,在外面完成相应的操作。

(使用中断时要特别注意,及时的清除中断标志,为下一次能够触发中断做准备。)

RXNEIE:接收缓冲区非空中断使能

接收同发送。

TXDMAEN:发送缓冲区DMA使能

RXDMAEN:接收缓冲区DMA使能

手册中有这样一句话,“不能同时设置TXEIE和TXDMAEN”这一点要特别注

意。也就是说如果你在SPI的通信中不用DMA则使能TXEIE的中断,不使能TXDMAEN的中断,如果在SPI中使用DMA传输,则禁能TXEIE 的中断,只使能TXDMAEN 的中断。

五、SPI的DMA操作

DMA(Direct Memory Access)直接内存存取,直接存储器存取用来提供在外设和存储器之间或者存储器和存储器之间的高速数据传输。无须CPU任何干预,通过DMA数据可以快速地移动。使用DMA最大的特点就是数据传输不经过CPU这就节省了CPU的资源,让CPU能有更多的时间来做其他的事情。

SPI的DMA操作,就是在SPI->TXE为1时,会向对应的DMA通道发出请求,DMA通道会发出应答信号,SPI收到应答信号后撤销请求信号,DMA撤销应答信号,并把内存值装入SPI_DR的发送缓区,SPI的传送开始。

DMA的初始化

DMA_PeripheralBaseAddr是值外设数据的地址,用SPI1故DMA外设地址对应的是SPI1_DR_Addr,

DMA_MemoryBaseAddr是内存地址,它的值可以使,你要发送的数据所存放的数组的名,因为数组名代表的是数组数据存放的首地址,在SPI-DMA 的发送中可以理解为把DMATX[]数组里的数据传送到SPI1_DR_Addr

DMA_DIR 是指数据传输的方向,其值发送时其值为DMA_DIR_PeripheralDST 即外设是目的地,方向是DMATX—> SPI1_DR_Addr, 在接受收时其值为DMA_DIR_PeripheralSRC,即外设是数据的来源,传输方向是SPI1_DR_Addr—>用户指定的数据存储数组。

DMA_BufferSize 用来设置传输数据的个数,在STM32的DMA中其值的范围是0—65536.

DMA_Mode 指DMA的传输模式DMA_Mode_Normal为正常工作模式DMA_Mode_Circular 是循环工作模式,这里对循环模式的解释我认为有位网友解释的很不错如下:

“循环的意思是指DMA的传输数量计数器会重置初值,由于DMA每传一个数据,传输数量计数器减一,只有在传输数量计数器的值不为零时,才会响应请求。在循环模式下,当传输计数器的值减为0后,会重新装载;而内存(缓存)地址则不管循环非循环模式,都会在每次传输完成后重置为基地址。所以,如果我们把DMA设置会正常模式,那么在下次传输前,只需对DMA的传输数量计数器重新写入就行。循环模式一般用于数据更新,比如ADC采用需要不停更新数据。”

在初始化完成之后要开启DMA的中断,在我的程序中开启的是DMA传输完成中断。

DMA传输有3个中断标志位,常用的是传输完成的中断。如下:

这样在传输完设定的数据个数之后就会触发传输完成的中断,用户可以再中断服务函数中,进行相应的操作,有一点特别注意,就是要及时清除中断标志位,为下次能够正常触发中断做准备。

在我的中断服务函数中有一个标志位SpiCommon,被置1后再中断之外进行其他的处理,同时调用DMA_ClearITPendingBit(DMA1_IT_TC2)来及时清除中断标志。

在进行DMA的数据传输时要先禁能DMA的通道,重置传输数据个数的值,数据的存储位置等,再使能DMA的通道,等待DMA的传输完成。

我的操作时这样的,先往DMATX[]里写入相应的数据,然后如下

这样可能有一点不好的地方,因为只改变了SpiTXSize的值,却又重新执行了DMATXInit() 函数,可能此处能够再改善一下。

六测试中出现的问题及原因和解决方法

●示波器观察主机能够产生正确的时钟,主机输出引脚也能产生正确的

数据,但是从机不能接受数据。

可能原因:

1.从机的接收中断配置不正确,或者没有打开相应的中断。

2.在从机中TXEIE的中断和TXDMAEN的中断都被使能,手册中说,这两个

中断只能使能1个.

●从机能接收数据,但是接收的数据乱码

可能原因:

1.主从机的时钟相位和极性的配置导致的,关于这一点想做一下说明,网

上有人说,主从机时钟的相位和极性要配置的一样,也有人说不能配置的一样,而我对于主从机的相位和极性的16种组合情况全做了试验,结果如下:(主机LPC1114的SPI1从机STM32的SPI1)

(√表示能正常通信)

(当然可能上述的结果也跟测试环境有关,当对其有所怀疑时,读者不妨自己实验看一下。)

2.乱码的第二个原因可能是两个设备没有共地而造成的,在出现问题时一

定要先检查一下硬件的连接是否正确,是否有虚焊接触不好的地方而导致通讯不正常。

从机能接收数据,但接收的数据不全,又丢字节的现象发生。

可能原因:

1.如果是通过串口打印来观察接收数据,那要看一下数据中是否有0,结

合自己的串口函数分析一下,因为打印数组或者字符串时遇0会截止。

2.看一下接收的数组中,其指针是否是递增的。

3.如果使用了CS片选信号,看一下主机发出的数据是否都在CS拉低的范

围内。

利用51单片机实现SPI总线通信

利用51单片机实现SPI总线通信 一:题目及要求 1:基本内容 1.1:理解51单片机和SPI总线通信的特性和工作原理; 1.2:以51单片机为核心分别设计SPI总线通信发送及接收电路; 1.3:熟练应用C语言或汇编语言编写程序; 1.4:应用Protues软件完成仿真,仿真结果需包括示波器波形,通过一定的 方式(如LED灯、LED显示器等)显示发送和接受数据结果; 1.5:下载程序到开发板,实现串口通信功能(选做); 1.6:提交设计报告。 2:基本要求 本设计采用三线式SPI总线,一条时钟线SCK,一条数据输入线MOSI,一条数据输出线MISO。时钟极性CPOL=0,时钟相位CPHA=0。 二:设计思路 1:掌握51单片机和SPI总线通信的工作原理; 2:利用1中的原理设计SPI总线通信发送和接受电路; 3:编程模拟SPI时序,包括串行时钟、数据输入和输出; 4:利用Protues软件仿真,观察结果; 5:顺利仿真后,下载到开发板实现串行通信功能。 三:设计过程及内容 1:SPI总线简介 SPI ( Serial Peripheral Interface ——串行外设接口) 总线是Motorola公司推出的一种同步串行接口技术。SPI总线系统是一种同步串行外设接口,允许MCU(微控制器)与各种外围设备以串行方式进行通信、数据交换。外围设备包括FLASHRAM、A/ D 转换器、网络控制器、MCU 等。SPI,是一种高速的,全双工,同步的通信总线,并且在芯片的管脚上只占用四根线,节约了芯片的管脚,同时为PCB的布局上节省空间,提供方便,正是出于这种简单易用的特性,现

spi通信规范

竭诚为您提供优质文档/双击可除 spi通信规范 篇一:spi通讯协议介绍 spi通讯协议介绍 spiinterface spi接口介绍 spi是由美国摩托罗拉公司推出的一种同步串行传输规范,常作为单片机外设芯片串行扩展接口。spi有4个引脚:ss(从器件选择线)、sdo(串行数据输出线)、sdi(串行数据 输入线)和sck(同步串行时钟线)。spi可以用全双工通信方式同时发送和接收8(16)位数据,过程如下:主机启动发送 过程,送出时钟脉冲信号,主移位寄存器的数据通过sdo移入到从移位寄存器,同时从移位寄存器中的数据通过sdi移人到主移位寄存器中。8(16)个时钟脉冲过后,时钟停顿, 主移位寄存器中的8(16)位数据全部移人到从移位寄存器中,随即又被自动装入从接收缓冲器中,从机接收缓冲器满标志位(bF)和中断标志位(sspiF)置“1”。同理,从移位寄存器 中的8位数据全部移入到主寄存器中,随即又被自动装入到主接收缓冲器中.主接收缓冲器满标志位(bF)和中断标志位

(sspiF)置“1”。主cpu检测到主接收缓冲器的满标志位或者中断标志位置1后,就可以读取接收缓冲器中的数据。同样,从cpu检测到从接收缓冲器满标志位或中断标志位置1后,就可以读取接收缓冲器中的数据,这样就完成了一次相互通信过程。这里设置dspic30F6014为主控制器,isd4002为从器件,通过spi口完成通信控制的过程。 spi总线协议 spi是一个环形总线结构,由ss(cs)、sck、sdi、sdo 构成,其时序其实很简单,主要是在sck的控制下,两个双向移位寄存器进行数据交换。 假设下面的8位寄存器装的是待发送的数据10101010,上升沿发送、下降沿接收、高位先发送。那么第一个上升沿来的时候数据将会是sdo=1;寄存器=0101010x。下降沿到来的时候,sdi上的电平将所存到寄存器中去,那么这时寄存器=0101010sdi,这样在8个时钟脉冲以后,两个寄存器的内容互相交换一次。这样就完成里一个spi时序。 例子: 假设主机和从机初始化就绪:并且主机的sbuff=0xaa,从机的sbuff=0x55,下面将分步对spi的8个时钟周期的数据情况演示一遍:假设上升沿发送数据 第1页 程时钟;发送结束中断标志;写冲突保护;总线竞争保护

spi通信原理

SPI:高速同步串行口。3~4线接口,收发独立、可同步进行 SPI的通信原理: 主从方式工作,这种模式通常有一个主设备和一个或多个从设备,需要至少4根线,事实上3根也可以(单向传输时)。也是所有基于SPI的设备共有的,它们是SDI(数据输入),SDO(数据输出),SCK(时钟),CS(片选)。 (1)SDO –主设备数据输出,从设备数据输入 (2)SDI –主设备数据输入,从设备数据输出 (3)SCLK –时钟信号,由主设备产生 (4)CS –从设备使能信号,由主设备控制 其中CS是控制芯片是否被选中的,也就是说只有片选信号为预先规定的使能信号时(高电位或低电位),对此芯片的操作才有效。这就允许在同一总线上连接多个SPI设备成为可能。 接下来就负责通讯的3根线了。通讯是通过数据交换完成的,这里先要知道SPI是串行通讯协议,也就是说数据是一位一位的传输的。这就是SCK时钟线存在的原因,由SCK提供时钟脉冲,SDI,SDO则基于此脉冲完成数据传输。数据输出通过SDO线,数据在时钟上升沿或下降沿时改变,在紧接着的下降沿或上升沿被读取。完成一位数据传输,输入也使用同样原理。这样,在至少8次时钟信号的改变(上沿和下沿为一次),就可以完成8位数据的传输。 要注意的是,SCK信号线只由主设备控制,从设备不能控制信号线。同样,在一个基于SPI的设备中,至少有一个主控设备。这样传输的特点:这样的传输方式有一个优点,与普通的串行通讯不同,普通的串行通讯一次连续传送至少8位数据,而SPI允许数据一位一位的传送,甚至允许暂停,因为SCK时钟线由主控设备控制,当没有时钟跳变时,从设备不采集或传送数据。也就是说,主设备通过对SCK时钟线的控制可以完成对通讯的控制。SPI还是一个数据交换协议:因为SPI的数据输入和输出线独立,所以允许同时完成数据的输入和输出。不同的SPI设备的实现方式不尽相同,主要是数据改变和采集的时间不同,在时钟信号上沿或下沿采集有不同定义,具体请参考相关器件的文档。 在点对点的通信中,SPI接口不需要进行寻址操作,且为全双工通信,显得简单高效。在多个从设备的系统中,每个从设备需要独立的使能信号,硬件上比I2C系统要稍微复杂一些。 最后,SPI接口的一个缺点:没有指定的流控制,没有应答机制确认是否接收到数据。 SPI协议举例 SPI是一个环形总线结构,由ss(cs)、sck、sdi、sdo构成,其时序其实很简单,主要是在sck的控制下,两个双向移位寄存器进行数据交换。 假设下面的8位寄存器装的是待发送的数据10101010,上升沿发送、下降沿接收、高位先发送。 那么第一个上升沿来的时候数据将会是sdo=1;寄存器中的10101010左移一位,后面补入送来的一位未知数x,成了0101010x。下降沿到来的时候,sdi上的电平将锁存到寄存器中去,那么这时寄存器=0101010sdi,这样在8个时钟脉冲以后,两个寄存器的内容互相交换一次。这样就完成里一个spi时序。

SPI的通信速率到底可以达到多少

S P I的通信速率到底可 以达到多少 Document number:PBGCG-0857-BTDO-0089-PTT1998

楼主提问:SPI的通信速率到底可以达到多少??? 按照手册上的说明,应该能到fosc/4,然而实际上由于SPI通信底层没有任何握手,不像I2C总线那样带ACK,所以SPI速率实际上根本不能达到fosc/4,除非发信端与收信端完全同步,然而事实上接收端往往要对接收到的数据进行一些判断和处理,所以在接收端往往会丢数,解决办法就是在发信端发完一个字节后人为加上延时等待接收端处理,但是如果这样的话,高速还有什么意义呢? 我做了一个试验,即使关掉所有其它中断,只作SPI通信处理,在fosc/4的通信速率下,接收端只能接收10个字节以内的数据,10个字节以上就会丢数,而在fosc/8的通信速率下,如果关闭所有其它中断,收发256个字节是没什么问题的,但是如果应用程序有1ms的时钟中断事件的话,spi通信成功率很低。 在前面很多帖子里,看到不少人说spi只是硬件底层,通信的可靠性要靠通信协议,诚然如此,但是我以为通信协议只是最后一道保障,如果底层不可靠,通信协议再完善也是惘然。 轮询和中断方式有本质区别吗?轮询就能保证不被其它中断干扰吗?主机自己掌握SPI节奏,它只知道自己发送出去了,并不知道从机是否处理完,如果从机还在处理上一个字节,这时候发下个字节显然会丢数据啊 解答者1回答: 是同步!不是异步! 也就是说MASTER提供时钟,所以完全由MASTER决定速率(当然大家都能达到的)

再有就是这个速率仅仅指一个BYTE的通讯速率,不是整个帧速率(2个BYTE以上)从机查询和中断无关,说白了就是移位寄存器! 楼主再问: 关键就在于这个速率要大家都能达到啊,如果都能达到就不用讲了,实测下来就是slave端达不到这个速率啊。如果这个速率是一个BYTE的指标那就没啥说的了,我认了,只能在字节之间加延时了。 解答者2: 我试过用fosc/2的时钟速率进行两机通讯(系统时钟16M),连续传了好多字节都没有问题。主机用查询方式发送;从机用中断接收,接收到的数据用液晶显示出来。 解答者1: 多字节是不可能达到fosc/2的!除非从机速率更快,有足够的时间去处理或保存(读取数据),要不然是吹牛的!影响速率达不到fosc/2就是从机提取数据!与系统时钟多少那无关!再有,?从机响应中断都要4个机器周期,更别说要存储,中断出来也要4个机器周期。 对于单字节来说是可以达到fosc/2,因为AVR可以使用倍率,本来是fosc/4的! 所以,数据手册里讲的可以达到fosc/4那是指单字节的速率! 楼主: 所以说手册给出来的指标很带有欺骗性,为了可靠起见(相信很多人的程序中都有个时钟在运行),建议放在fosc/16。

SPI通信

SPI通信程序 //這是主机C8051F005有硬件SPI的AT45DB041B的讀寫驅動 // SPI Configuration //SPI0CN = 0x02; // SPI Control Register //MSTEN = 1; // SPI 以主方式工作 //SPI0CFG = 0xC7; // SPI Configuration Register 第二邊沿采樣,SCK空閑高 //SPI0CKR = 0x00; // SPI Clock Rate Register SPIclk=24.5M/2 //SPIEN = 1; // SPI0CN |= 0x01; sbit FLASH_CS = P3^6; union long_union { //長整形聯合 unsigned long dat; unsigned int word[2]; unsigned char byte[4]; }opcode; //緩沖區1單字節寫cmd=0x84

void buff1_wr(unsigned int bfaddr,unsigned char dat) { unsigned char i; opcode.byte[0]=0x84; opcode.word[1]=bfaddr; FLASH_CS=0; SPI0CN&=0x03; for(i=0;i<4;i++) { SPI0DAT=opcode.byte[i]; while(!SPIF); SPIF=0;} //連續發送4個opcode SPI0DAT=dat; while(!SPIF); SPIF=0; //發送第5個字節(數据) FLASH_CS=1; } //緩沖區1單字節讀cmd=0xd4 unsigned char buff1_rd(unsigned int bfaddr)

SPI数据格式

497 Jz4755 Multimedia Application Processor Programming Manual, Revision 1.0 Copyright? 2005-2007 Ingenic Semiconductor Co., Ltd. All rights reserved. 23.5 Data Formats Four signals are used to transfer data between the processor and external peripheral. The SSI supports three formats: Motorola SPI, Texas Instruments SSP , and National Microwire. Although they have the same basic structure the three formats have significant differences, as described below. SSI_CE_/SSI_CE2_ varies for each protocol as follows: ? For SPI and Microwire formats, SSI_CE_/SSI_CE2_ functions as a chip select to enable the external device (target of the transfer), and is held active-low during the data transfer. ? For SSP format, this signal is pulsed high for one serial bit-clock period at the start of each frame. SSI_CLK varies for each protocol as follows: For Microwire, both transmit and receive data sources switch data on the falling edge of SSI_CLK, and sample incoming data on the rising edge. For SSP , transmit and receive data sources switch data on the rising edge of SSI_CLK, and sample incoming data on the falling edge. For SPI, the user has the choice of which edge of SSI_CLK to use for switching outgoing data, and for sampling incoming data. In addition, the user can move the phase of SSI_CLK, shifting its active state one-half period earlier or later at the start and end of a frame. While SSP and SPI are full-duplex protocols, Microwire uses a half-duplex master-slave messaging protocol. At the start of a frame, a 1 or 2-byte control message is transmitted from the controller to the peripheral. The peripheral does not send any data. The peripheral interprets the message and, if it is a READ request, responds with requested data, one clock after the last bit of the requesting message. The serial clock (SSI_CLK) only toggles during an active frame. At other times it is held in an inactive or idle state, as defined by its specified protocol. 23.5.1 Motorola’s SPI Format Details 23.5.1.1 General Single Transfer Formats The figures below show the timing of general single transfer format.

SPI通信

二、通信的SPI 概念 2.1、SPI:高速同步串行口 SPI:高速同步串行口。是一种标准的四线同步双向串行总线。 SPI,是英语Serial Peripheral interface的缩写,顾名思义就是串行外围设备接口。是Motorola首先在其MC68HCXX系列处理器上定义的。SPI接口主要应用在EEPROM,FLASH,实时时钟,AD转换器,还有数字信号处理器和数字信号解码器之间。SPI,是一种高速的,全双工,同步的通信总线,并且在芯片的管脚上只占用四根线,节约了芯片的管脚,同时为PCB的布局上节省空间,提供方便,正是出于这种简单易用的特性,现在越来越多的芯片集成了这种通信协议,比如AT91RM9200. SPI总线系统是一种同步串行外设接口,它可以使MCU与各种外围设备以串行方式进行通信以交换信息。外围设置FLASHRAM、网络控制器、LCD显示驱动器、A/D转换器和MCU等。SPI总线系统可直接与各个厂家生产的多种标准外围器件直接接口,该接口一般使用4条线:串行时钟线(SCK)、主机输入/从机输出数据线MISO、主机输出/从机输入数据线MOSI和低电平有效的从机选择线SS(有的SPI接口芯片带有中断信号线INT、有的SPI接口芯片没有主机输出/从机输入数据线MOSI)。 SPI的通信原理很简单,它以主从方式工作,这种模式通常有一个主设备和一个或多个从设备,需要至少4根线,事实上3根也可以(用于单向传输时,也就是半双工方式)。也是所有基于SPI的设备共有的,它们是SDI(数据输入),SDO(数据输出),SCK(时钟),CS(片选)。 (1)SDO –主设备数据输出,从设备数据输入 (2)SDI –主设备数据输入,从设备数据输出 (3)SCLK –时钟信号,由主设备产生 (4)CS –从设备使能信号,由主设备控制 其中CS是控制芯片是否被选中的,也就是说只有片选信号为预先规定的使能信号时(高电位或低电位),对此芯片的操作才有效。这就允许在同一总线上连接多个SPI设备成为可能。 接下来就负责通讯的3根线了。通讯是通过数据交换完成的,这里先要知道SPI是串行通讯协议,也就是说数据是一位一位的传输的。这就是SCK时钟线存在的原因,由SCK 提供时钟脉冲,SDI,SDO则基于此脉冲完成数据传输。数据输出通过SDO线,数据在时钟上升沿或下降沿时改变,在紧接着的下降沿或上升沿被读取。完成一位数据传输,输入也使用同样原理。这样,在至少8次时钟信号的改变(上沿和下沿为一次),就可以完成8位数据的传输。 要注意的是,SCK信号线只由主设备控制,从设备不能控制信号线。同样,在一个基于SPI的设备中,至少有一个主控设备。这样传输的特点:这样的传输方式有一个优点,与普通的串行通讯不同,普通的串行通讯一次连续传送至少8位数据,而SPI允许数据一位一位的传送,甚至允许暂停,因为SCK时钟线由主控设备控制,当没有时钟跳变时,从

SPI串行通信协议

SPI串行通信协议 同步串行外设接口(S PI)是由摩托罗拉公司开发的全双工同步串行总线,该总线大量用在与EEPROM、ADC、FRAM和显示驱动器之类的慢速外设器件通信。 SPI(Serial Peripheral Interface)是一种串行串行同步通讯协议,由一个主设备和一个或多个从设备组成,主设备启动一个与从设备的同步通讯,从而完成数据的交换。SPI 接口由SDI(串行数据输入),SDO(串行数据输出),SCK(串行移位时钟),CS(从使能信号)四种信号构成,CS 决定了唯一的与主设备通信的从设备,如没有CS 信号,则只能存在一个从设备,主设备通过产生移位时钟来发起通讯。通讯时,数据由SDO 输出,SDI 输入,数据在时钟的上升或下降沿由SDO 输出,在紧接着的下降或上升沿由SDI 读入,这样经过8/16 次时钟的改变,完成8/16 位数据的传输。 总线协议 该总线通信基于主-从(所有的串行的总线均是这样,USB,IIC,SPI等)配置,而且下面提到的方向性的操作合指代全部从主设备的角度说得。它有以下4个信号: MOSI:主出/从入 MISO:主入/从出 SCK:串行时钟 SS:从属选择;芯片上“从属选择”(slave-select)的引脚数决定了可连到总线上的器件数量。 在SPI传输中,数据是同步进行发送和接收的。数据传输的时钟基于来自主处理器的时钟脉冲(好像也可以是IO上的电平的模拟时钟),摩托罗拉没有定义任何通用SPI的时钟规范。然而,最常用的时钟设置基于时钟极性(CPOL)和时钟相位(CPHA)两个参数,CPOL定义SPI串行时钟的活动状态,而CPHA定义相对于SO-数据位的时钟相位。CPOL和CPHA的设置决定了数据取样的时钟沿。 数据方向和通信速度 SPI传输串行数据时首先传输最高位。波特率可以高达5Mbps,具体速度大小取决于SPI硬件。例如,Xicor公司的SPI 串行器件传输速度能达到5MHz。 SPI总线接口及时序 SPI总线包括1根串行同步时钟信号线以及2根数据线。 SPI模块为了和外设进行数据交换,根据外设工作要求,其输出串行同步时钟极性和相位可以进行配置,时钟极性(CPOL)对传输协议没有重大的影响。如果CPOL=0,串行同步时钟的空闲状态为低电平;如果CPOL=1,串行同步时钟的空闲状态为高电平。时钟相位(CPHA)能够配置用于选择两种不同的传输协议之一进行数据传输。如果CPHA=0,在串行同步时钟的第一个跳变沿(上升或下降)数据被采样;如果CPHA=1,在串行同步时钟的第二个跳变沿(上升或下降)数据被采样。SPI主模块和与之通信的外设音时钟相位和极性应该一致。SPI接口时序如图3、图4所示。

SPI通信协议(SPI总线)学习

SPI通信协议(SPI总线)学习 1、什么是SPI? SPI是串行外设接口(Serial Peripheral Interface)的缩写。是Motorola 公司推出的一 种同步串行接口技术,是一种高速的,全双工,同步的通信总线。 2、SPI优点 支持全双工通信 通信简单 数据传输速率块 3、缺点 没有指定的流控制,没有应答机制确认是否接收到数据,所以跟IIC总线协议比较在数据可靠性上有一定的缺陷。 4、特点 1):高速、同步、全双工、非差分、总线式 2):主从机通信模式 5、协议通信时序详解 1):SPI的通信原理很简单,它以主从方式工作,这种模式通常有一个主设备和一个或多个从设备,需要至少4根线,事实上3根也可以(单向传输时)。也是所有基于SPI的设备共有的,它们是SDI(数据输入)、SDO(数据输出)、SCLK(时钟)、CS(片选)。 (1)SDO/MOSI – 主设备数据输出,从设备数据输入; (2)SDI/MISO – 主设备数据输入,从设备数据输出; (3)SCLK – 时钟信号,由主设备产生; (4)CS/SS – 从设备使能信号,由主设备控制。当有多个从设备的时候,因为每个从设 备上都有一个片选引脚接入到主设备机中,当我们的主设备和某个从设备通信时将需 要将从设备对应的片选引脚电平拉低或者是拉高。 2):需要说明的是,我们SPI通信有4种不同的模式,不同的从设备可能在出厂是就是配置为某种模式,这是不能改变的;但我们的通信双方必须是工作在同一模式下,所以我们可以对我们的主设备的SPI模式进行配置,通过CPOL(时钟极性)和CPHA(时钟相位)来控制我们主设备的通信模式,具体如下: Mode0:CPOL=0,CPHA=0 Mode1:CPOL=0,CPHA=1 Mode2:CPOL=1,CPHA=0 Mode3:CPOL=1,CPHA=1 时钟极性CPOL是用来配置SCLK的电平出于哪种状态时是空闲态或者有效态,时钟相位CPHA 是用来配置数据采样是在第几个边沿: CPOL=0,表示当SCLK=0时处于空闲态,所以有效状态就是SCLK处于高电平时 CPOL=1,表示当SCLK=1时处于空闲态,所以有效状态就是SCLK处于低电平时 CPHA=0,表示数据采样是在第1个边沿,数据发送在第2个边沿 CPHA=1,表示数据采样是在第2个边沿,数据发送在第1个边沿 例如: CPOL=0,CPHA=0:此时空闲态时,SCLK处于低电平,数据采样是在第1个边沿,也就是SCLK由低电平到高电平的跳变,所以数据采样是在上升沿,数据发送是在下降沿。

SPI串口通信协议

SPI串口通信协议 1.1 SPI串口通信介绍 SPI是英文Serial Peripheral Interface的缩写,中文意思是串行外围设备接口,SPI是Motorola公司推出的一种同步串行通讯方式,是一种三线同步总线,因其硬件功能很强,与SPI有关的软件就相当简单,使CPU有更多的时间处理其他事务。 SPI:高速同步串行口。3~4线接口,收发独立、可同步进行. SPI,是英语Serial Peripheral interface的缩写,顾名思义就是串行外围设备接口。是Motorola首先在其MC68HCXX系列处理器上定义的。SPI接口主要应用在EEPROM,FLASH,实时时钟,AD转换器,还有数字信号处理器和数字信号解码器之间。SPI,是一种高速的,全双工,同步的通信总线,并且在芯片的管脚上只占用四根线,节约了芯片的管脚,同时为PCB的布局上节省空间,提供方便,正是出于这种简单易用的特性,现在越来越多的芯片集成了这种通信协议,比如AT91RM9200. SPI总线系统是一种同步串行外设接口,它可以使MCU与各种外围设备以串行方式进行通信以交换信息。外围设置FLASHRAM、网络控制器、LCD显示驱动器、A/D转换器和MCU等。SPI总线系统可直接与各个厂家生产的多种标准外围器件直接接口,该接口一般使用4条线:串行时钟线(SCK)、主机输入/从机输出数据线MISO、主机输出/从机输入数据线MOSI和低电平有效的从机选择线SS(有的SPI接口芯片带有中断信号线INT或INT、有的SPI接口芯片没有主机输出/从机输入数据线MOSI)。 SPI的通信原理很简单,它以主从方式工作,这种模式通常有一个主设备和一个或多个从设备,需要至少4根线,事实上3根也可以(单向传输时)。也是所有基于SPI的设备共有的,它们是SDI(数据输入),SDO(数据输出),SCK(时钟),CS(片选)。 (1)SDO –主设备数据输出,从设备数据输入 (2)SDI –主设备数据输入,从设备数据输出

SPI的通信速率到底可以达到多少

楼主提问:SPI的通信速率到底可以达到多少??? 按照手册上的说明,应该能到fosc/4,然而实际上由于SPI通信底层没有任何握手,不像I2C总线那样带ACK,所以SPI速率实际上根本不能达到fosc/4,除非发信端与收信端完全同步,然而事实上接收端往往要对接收到的数据进行一些判断和处理,所以在接收端往往会丢数,解决办法就是在发信端发完一个字节后人为加上延时等待接收端处理,但是如果这样的话,高速还有什么意义呢? 我做了一个试验,即使关掉所有其它中断,只作SPI通信处理,在fosc/4的通信速率下,接收端只能接收10个字节以内的数据,10个字节以上就会丢数,而在fosc/8的通信速率下,如果关闭所有其它中断,收发256个字节是没什么问题的,但是如果应用程序有1ms的时钟中断事件的话,spi通信成功率很低。 在前面很多帖子里,看到不少人说spi只是硬件底层,通信的可靠性要靠通信协议,诚然如此,但是我以为通信协议只是最后一道保障,如果底层不可靠,通信协议再完善也是惘然。 轮询和中断方式有本质区别吗?轮询就能保证不被其它中断干扰吗?主机自己掌握SPI节奏,它只知道自己发送出去了,并不知道从机是否处理完,如果从机还在处理上一个字节,这时候发下个字节显然会丢数据啊 解答者1回答: 是同步!不是异步! 也就是说MASTER提供时钟,所以完全由MASTER决定速率(当然大家都能达到的) 再有就是这个速率仅仅指一个BYTE的通讯速率,不是整个帧速率(2个BYTE以上)从机查询和中断无关,说白了就是移位寄存器! 楼主再问: 关键就在于这个速率要大家都能达到啊,如果都能达到就不用讲了,实测下来就是slave 端达不到这个速率啊。如果这个速率是一个BYTE的指标那就没啥说的了,我认了,只能在字节之间加延时了。 解答者2: 我试过用fosc/2的时钟速率进行两机通讯(系统时钟16M),连续传了好多字节都没有问题。主机用查询方式发送;从机用中断接收,接收到的数据用液晶显示出来。 解答者1: 多字节是不可能达到fosc/2的!除非从机速率更快,有足够的时间去处理或保存(读取数据),要不然是吹牛的!影响速率达不到fosc/2就是从机提取数据!与系统时钟多少那无关!再有,?从机响应中断都要4个机器周期,更别说要存储,中断出来也要4个机器周期。 对于单字节来说是可以达到fosc/2,因为A VR可以使用倍率,本来是fosc/4的! 所以,数据手册里讲的可以达到fosc/4那是指单字节的速率! 楼主: 所以说手册给出来的指标很带有欺骗性,为了可靠起见(相信很多人的程序中都有个时钟在运行),建议放在fosc/16。 SPI接口原理 SPI接口的全称是"Serial Peripheral Interface",意为串行外围接口,是Motorola首先在其 MC68HCXX系列处理器上定义的。SPI接口主要应用在EEPROM, FLASH,实时时钟,AD 转换器,还有数字信号处理器和数字信号解码器之间。 SPI接口是在CPU和外围低速器件之间进行同步串行数据传输,在主器件的移位脉冲下,数据按位传输,高位在前,地位在后,为全双工通信,数据传输速度总体来说比I2C总线要快,速度可达到几Mbps。

SPI通信

1 SPI通信 SPI信号线:一般的SPI接口使用4条信号线与外围设备接口,其具体功能如下: ?SCLOCK:主机的时钟线,为数据的发送和接收提供同步时钟信号。每一位数据的传输都需要1次时钟作用,因而发送或接收1个字节的数据都需要8个时钟作用。主机的时钟可以通过固件进行设置,并和从机的时钟线相连。 ?MISO:主机输入/从机输出数据线。主机的MOSO应与从机的发送数据端相连,进行高位在前的数据交换。 ?SS:低电平有效的从机选择线。当该线置低时,才能跟从机进行通信。 SPI工作模式:SPI的工作模式分为:主模式和从模式。 ?主模式的特点是不论发送还是接收始终有SCLOCK信号,SS信号不是必需的,由于SPI只能有一个主机,因而不存在主机的选择问题。 ?从模式的特点是无论发送还是接收必须在时钟信号SCLOCK的作用下才能进行,并且SS信号必须有效。 不论是在主模式下还是在从模式下,都要在时钟极性和时钟相位的配合下才能有效的完成一次数据传输。 2 SPI接口的单线应用 在标准的SPI接口间进行通信时,SPI总线的四条信号线中:主机SCLOCK与从机SCLOCK相连; 主机MISO与从机MOSI相连;主机MOSI 与从机MISO 相连;主机控制信号线与从机的SS相连。在SPI接口与非标准接口进行通信时,例如与有的串行RAM 接口,由于双向I/O数据线只有一条,时钟和片选信号线都有,那么如何利用SPI的高速特性进行数据的读出和写入呢? 在这里提出SPI的单线应用方案,其如图1所示。主机的时钟信号SCLOCK 和片选信号SS与从机的对应信号线相连,不同的是主机的MOSI和MISO信号线分别经过合适的电阻与从机的I/O信号线相连。当主机给从机发送数据时,在时钟信号的作用下,数据从MOSI口线经电阻1到从机的I/O 口线;当主机接收数据时,也需要在时钟信号的作用下,数据从从机的I/O口线经电阻2到主机的MISO口线。该方案中MOSI与MISO连在一起,由于加了适当的电阻,所以不违反电路设计原则,但如果电阻大小不当会对信号的特性带来影响。 图1 SPI单线应用方案 3 SPI接口的单线应用举例 本例是作者设计的单片机,ADuC812的SPI总线与射频无线通信模块nRF2401间的通信接口,由于nR

spi通信程序

显然对于nRF24L01来说上升沿输入,下降沿输出。也即是说:MCU在时钟信号的上升沿时写(write),下降沿时读(read). 单字节读时序: 1/* 2**函数名: SPI_Read_OneByte 3**返回值: temp--SPI读取的一字节数据 4**参数: None 5**描述:下降沿读数据,每次读取1 bit 6*/ 7uint8 SPI_Read_OneByte(void) 8{ 9uint8 i; 10uint8 temp = 0; 11 12for(i=0;i<8;i++) 13{ 14temp <<= 1;//读取MISO 8次输入的值,存入temp。之所以不放在“SCK = 0”语句之后的位置是因为: 15//读取最后1byte的最后一位(即LSB)之后,不能再左移了 16SCK = 1; 17if(MISO)//读取最高位,保存至最末尾,通过左移位完成读整个字节 18temp |= 0x01;

20temp &=~0x01; 21SCK = 0;//下降沿来了(SCK从1-->0),MISO上的数据将发生改变,稳定后读取存入temp 22} 23 24return temp; 25} 单字节写时序: 26/* 27**函数名: SPI_Write_OneByte 28**返回值: None 29**参数: u8_writedata--SPI写入的一字节数据 30**描述:上升沿写数据,每次写入1 bit 31*/ 32void SPI_Write_OneByte(uint8 u8_writedata) 33{ 34uint8 i; 35 36for(i=0;i<8;i++) 37{ 38if(u8_writedata & 0x80)//判断最高位,总是发送最高位 39MOSI_ON;//MOSI输出1,数据总线准备数据1

SPI通信模式

SPI接口在模式0下输出第一位数据的时刻 SPI接口有四种不同的数据传输时序,取决于CPOL和CPHL这两位的组合。图1中表现了这四种时序,时序与CPOL、CPHL的 关系也可以从图中看出。 图1 CPOL是用来决定SCK时钟信号空闲时的电平,CPOL=0,空闲电平为低电平,CPOL=1时,空闲电平为高电平。CPHA是用来决定采样时刻的,CPHA=0,在每个周期的第一个时钟沿采样,CPHA=1,在每个周期的第二个时钟沿采样。 由于我使用的器件工作在模式0这种时序(CPOL=0,CPHA=0),所以将图1简化为图2,只关注模式0的时序。

图2 我们来关注SCK的第一个时钟周期,在时钟的前沿采样数据(上升沿,第一个时钟沿),在时钟的后沿输出数据(下降沿,第二个时钟沿)。首先来看主器件,主器件的输出口(MOSI)输出的数据bit1,在时钟的前沿被从器件采样,那主器件是在何时刻输出bi t1的呢?bit1的输出时刻实际上在SCK信号有效以前,比SCK的上升沿还要早半个时钟周期。bit1的输出时刻与SSEL信号没有关系。再来看从器件,主器件的输入口MISO同样是在时钟的前沿采样从器件输出的bit1的,那从器件又是在何时刻输出bit1的呢。从器件是在SSEL信号有效后,立即输出bit1,尽管此时SCK信号还没有起效。关于上面的主器件和从器件输出bit1位的时刻,可以从图3、4中得到验证。

图3 注意图3中,CS信号有效后(低电平有效,注意CS下降沿后发生的情况),故意用延时程序延时了一段时间,之后再向数据寄存器写入了要发送的数据,来观察主器件输出bit1的情况(MOSI)。可以看出,bit1(值为1)是在SCK信号有效之前的半个时钟周期的时刻开始输出的(与CS信号无关),到了SCK的第一个时钟周期的上升沿正好被从器件采样。 图4 图4中,注意看CS和MISO信号。我们可以看出,CS信号有效后,从器件立刻输出了bit1(值为1)。 通常我们进行的spi操作都是16位的。图5记录了第一个字节和第二个字节间的相互衔接的过程。第一个字节的最后一位在SCK 的上升沿被采样,随后的SCK下降沿,从器件就输出了第二个字节的第一位。 图5

spi 全双工通信

【转自互联网,感觉写的不错,特拿来分享】 本人的一个SPI的实例,通过SPI实现两机通讯,采用中断方式实现双全工通讯。 本例用两MEGA8515实现,连接为: MISO----MISO MOSI----MOSI SCK ----SCK /SS ----/SS 将要发送的数据加载到发送缓冲区的函数fill_tx_buffer和从接收缓冲区读出数据的函数read_rx_buffer未给出,根据各自需求请自己完成。 #define SPI_RX_BUFFER_SIZE 10 #define SPI_RX_BUFFER_MASK ( SPI_RX_BUFFER_SIZE - 1 ) #define SPI_TX_BUFFER_SIZE 10 #define SPI_TX_BUFFER_MASK ( SPI_TX_BUFFER_SIZE - 1 ) #define SET_SPI_MODE PORTB.4 #define SPI_MODE PINB.4 static unsigned char SPI_RxBuf[SPI_RX_BUFFER_SIZE]; static volatile unsigned char SPI_RxHead; static unsigned char SPI_TxBuf[SPI_TX_BUFFER_SIZE]; static volatile unsigned char SPI_TxHead; //****************************************** // SPI中断服务程序 //****************************************** interrupt [SPI_STC] void spi_isr(void) { unsigned char data; if(spi_m==0) //如果spi_m为0,表明是接收状态 { data = SPDR; //读入接受到的数据 SPI_RxBuf[SPI_RxHead-1] = data; //将接收到的数据存入接收缓存区

SPI串行通信协议

同步串行外设接口(S PI)是由摩托罗拉公司开发的全双工同步串行总线,该总线大量用在与EEPROM、ADC、FRAM和显示驱动器之类的慢速外设器件通信。 SPI(Serial Peripheral Interface)是一种串行串行同步通讯协议,由一个主设备和一个或多个从设备组成,主设备启动一个与从设备的同步通讯,从而完成数据的交换。SPI 接口由SDI(串行数据输入),SDO(串行数据输出),SCK(串行移位时钟),CS(从使能信号)四种信号构成,CS 决定了唯一的与主设备通信的从设备,如没有CS 信号,则只能存在一个从设备,主设备通过产生移位时钟来发起通讯。通讯时,数据由SDO 输出,SDI 输入,数据在时钟的上升或下降沿由SDO 输出,在紧接着的下降或上升沿由SDI 读入,这样经过8/16 次时钟的改变,完成8/16 位数据的传输。 总线协议 该总线通信基于主-从(所有的串行的总线均是这样,USB,IIC,SPI等)配置,而且下面提到的方向性的操作合指代全部从主设备的角度说得。它有以下4个信号: MOSI:主出/从入 MISO:主入/从出 SCK:串行时钟 SS:从属选择;芯片上“从属选择”(slave-select)的引脚数决定了可连到总线上的器件数量。 在SPI传输中,数据是同步进行发送和接收的。数据传输的时钟基于来自主处理器的时钟脉冲(好像也可以是IO上的电平的模拟时钟),摩托罗拉没有定义任何通用SPI的时钟规范。然而,最常用的时钟设置基于时钟极性(CPOL)和时钟相位(CPHA)两个参数,CPOL定义SPI 串行时钟的活动状态,而CPHA定义相对于SO-数据位的时钟相位。CPOL和CPHA的设置决定了数据取样的时钟沿。

STM32的SPI通信总结(含DMA)

STM32---SPI(DMA)通信的总结(库函数操作) 本文主要由7项内容介绍SPI并会在最后附上测试源码供参考: 1.SPI的通信协议 2.SPI通信初始化(以STM32为从机,LPC1114为主机介绍) 3.SPI的读写函数 4.SPI的中断配置 5.SPI的SMA操作 6.测试源码 7.易出现的问题及原因和解决方法 一、SPI的通信协议 SPI(Serial Peripheral Interface)是一种串行同步通讯协议,由一个主设备和一个或多个从设备组成,主设备启动一个与从设备的同步通讯,从而完成数据的交换。SPI 接口一般由4根线组成,CS片选信号(有的单片机上也称为NSS),SCLK时钟信号线,MISO数据线(主机输入从机输出),MOSI数据线(主机输出从机输入),CS 决定了唯一的与主设备通信的从设备,如没有CS 信号,则只能存在一个从设备,主设备通过产生移位时钟信号来发起通讯。通讯时主机的数据由MISO输入,由MOSI 输出,输入的数据在时钟的上升或下降沿被采样,输出数据在紧接着的下降或上升沿被发出(具体由SPI的时钟相位和极性的设置而决定)。

二、以STM32为例介绍SPI通信 1.STM32f103 带有3个SPI模块其特性如下: 2SPI 初始化 初始化SPI 主要是对SPI要使用到的引脚以及SPI通信协议中时钟相位和极性进行设置,其实STM32的工程师已经帮我们做好了这些工作,调用库函数,根据自己的需要来修改其中的参量来完成自己的配置即可,主要的配置是如下几项: 引脚的配置 SPI1的SCLK, MISO ,MOSI分别是PA5,PA6,PA7引脚,这几个引脚的模式都配置成GPIO_Mode_AF_PP 复用推挽输出(关于GPIO的8种工作模式如不清楚请自己百度,在此不解释),如果是单主单从,CS引脚可以不配置,都设置成软件模式即可。

Spi 通信 代码

GPIO模拟SPI总线(4线模式) 原作者:heekee 添加时间:2010-05-27 原文发表:2010-05-27 人气:826 -------------------------------------------------------------------------------- - 先给大家把源代码贴上来吧,等有时间了好好整理一下。 在大家做之前,先给大家说下模拟常识。 1。由于GPIO的相应速度有限,所以模拟的SPI速度有限,我这里大概是1.7M。所以GPIO 模拟SPI只适合用于SPI设备控制和少量低速率数据传输。一般,SPI可以到26M。 2。通用性差,需要按照操作的SPI设备提供的SPI时序来模拟,不想专用SPI硬件接口,可以配置多种时序。 3。一定要那示波器来抓取模拟的读写时序,和SPI设备手册一一对照。 /*************************************************************************/ /* */ /* FILE NAME */ /* drv_spi.c */ /* */ /* DESCRIPTION */ /* This file contains the basic spi function by using GPIO. */ /* */ /*************************************************************************/ #include "target.h" // This is the GPIO define of your board static u32 SPI_CS_PIN; static u32 SPI_CLK_PIN; static u32 SPI_DOUT_PIN; static u32 SPI_DIN_PIN;

相关主题
相关文档
最新文档