电子设计自动化试卷

电子设计自动化试卷
电子设计自动化试卷

山东英才学院

2014-2015学年第一学期期末考试

课程代码:02117024 课程名称:《电子设计自动化》试卷(A )

年级 2011级 专业 本科电气工程

(本试卷考试时间60分钟 满分100分)

一、单项选择题(本大题共10道小题,每小题2分,共20分。)

1、2. 基于EDA 软件的FPGA/CPLD 设计流程为:原理图/HDL 文本输入→________→综

合→适配→__________→编程下载→硬件测试。 A. 功能仿真 B. 时序仿真 C. 逻辑综合 D. 配置

3. IP 核在EDA 技术和开发中具有十分重要的地位;提供用VHDL 等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP 核为__________。 A. 软IP B. 固IP C. 硬IP D. 全对

4. 综合是EDA 设计流程的关键步骤,在下面对综合的描述中,_________是错误的。 A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程。 B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD 的基本结构相映射的网表文件。

C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。

D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。

5. 大规模可编程器件主要有FPGA 、CPLD 两类,其中CPLD 通过_______实现其逻辑功能。

A. 可编程乘积项逻辑

B. 查找表(LUT )

C. 输入缓冲

D. 输出缓冲

6. VHDL 语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___________。

A. 器件外部特性

B. 器件的内部功能

C. 器件外部特性与内部功能

D. 器件的综合约束

7. 电子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);下列方法中________不属于面积优化。

A. 流水线设计

B. 资源共享

C. 逻辑优化

D. 串行化

8. 进程中的信号赋值语句,其信号更新是_________。

A. 立即完成

B. 在进程的最后完成

C. 按顺序完成

D. 都不对

9. 不完整的IF语句,其综合结果可实现________。

A. 时序逻辑电路

B. 组合逻辑电路

C. 双向电路

D. 三态控制电路

10. 状态机编码方式中,其中_________占用触发器较多,但其简单的编码方式可减少状态译码组合逻辑资源,且易于控制非法状态。

A. 一位热码编码

B. 顺序编码

C. 状态位直接输出型编码

D. 格雷码编码

二、程序填空题(本大题共10空,每空2分,共20分。)

1. 下面程序是1位十进制计数器的程序描述,试补充完整。

LIBRARY IEEE;

USE IEEE._____________.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT10 IS

PORT ( CLK : IN STD_LOGIC ;

Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)) ;

END CNT10;

ARCHITECTURE bhv OF ______ IS

SIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

PROCESS (CLK)

_______

IF __________________ THEN -- 边沿检测

IF Q1 > 10 THEN

Q1 <= (OTHERS => '0'); -- 置零

ELSE

Q1 <= Q1 + 1 ; -- 加1

END IF;

END IF;

END PROCESS ;

__________

END bhv;

2. 下面是一个多路选择器的VHDL描述,试补充完整。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY bmux IS

PORT ( sel : ____ STD_LOGIC;

A, B : IN STD_LOGIC_VECTOR(7 DOWNTO 0);

Y : ____ STD_LOGIC_VECTOR(___ DOWNTO 0)) ;

END bmux;

ARCHITECTURE bhv OF bmux IS

BEGIN

y <= A when sel = '1' ______

______;

END bhv;

三、EDA名词解释题(本大题共7道小题,1至6题每题2分,

第7题8分,共20分。)

1.ASIC

2.FPGA

3.CPLD

4.EDA

5.IP

6.SOC

7.简要解释JTAG,指出JTAG的用途

仔细阅读下列程序,回答问题

LIBRARY IEEE; -- 1 USE IEEE.STD_LOGIC_1164.ALL; -- 2 ENTITY LED7SEG IS -- 3 PORT ( A : IN STD_LOGIC_VECTOR(3 DOWNTO 0); -- 4 CLK : IN STD_LOGIC; -- 5 LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); -- 6 END LED7SEG; -- 7 ARCHITECTURE one OF LED7SEG IS -- 8 SIGNAL TMP : STD_LOGIC; -- 9 BEGIN -- 10 SYNC : PROCESS(CLK, A) -- 11 BEGIN -- 12 IF CLK'EVENT AND CLK = '1' THEN -- 13 TMP <= A; -- 14 END IF; -- 15 END PROCESS; -- 16 OUTLED : PROCESS(TMP) -- 17 BEGIN -- 18 CASE TMP IS -- 19

WHEN "0000" => LED7S <= "0111111"; -- 20

WHEN "0001" => LED7S <= "0000110"; -- 21

WHEN "0010" => LED7S <= "1011011"; -- 22

WHEN "0011" => LED7S <= "1001111"; -- 23

WHEN "0100" => LED7S <= "1100110"; -- 24

WHEN "0101" => LED7S <= "1101101"; -- 25

WHEN "0110" => LED7S <= "1111101"; -- 26

WHEN "0111" => LED7S <= "0000111"; -- 27

WHEN "1000" => LED7S <= "1111111"; -- 28

WHEN "1001" => LED7S <= "1101111"; -- 29

END CASE; -- 30 END PROCESS; -- 31 END one; -- 32 1.在程序中存在两处错误,试指出,并说明理由:

2.修改相应行的程序:

错误1 行号:程序改为:

错误2 行号:程序改为:

请按题中要求写出相应程序

1.带计数使能的异步复位计数器

输入端口:clk 时钟信号

rst 异步复位信号

en 计数使能

load 同步装载

data (装载)数据输入,位宽为10 输出端口:q 计数输出,位宽为10

2.看下面原理图,写出相应程序描述

e

a b

y

电力电子技术试题及答案(B)

电力电子技术答案 2-1与信息电子电路中的二极管相比,电力二极管具有怎样的结构特点才使得其具有耐受高压和大电流的能力? 答:1.电力二极管大都采用垂直导电结构,使得硅片中通过电流的有效面积增大,显著提高了二极管的通流能力。 2.电力二极管在P 区和N 区之间多了一层低掺杂N 区,也称漂移区。低掺杂N 区由于掺杂浓度低而接近于无掺杂的纯半导体材料即本征半导体,由于掺杂浓度低,低掺杂N 区就可以承受很高的电压而不被击穿。 2-2. 使晶闸管导通的条件是什么? 答:使晶闸管导通的条件是:晶闸管承受正向阳极电压,并在门极施加触发电流(脉冲)。或:uAK>0且uGK>0。 2-3. 维持晶闸管导通的条件是什么?怎样才能使晶闸管由导通变为关断? 答:维持晶闸管导通的条件是使晶闸管的电流大于能保持晶闸管导通的最小电流,即维持电流。 要使晶闸管由导通变为关断, 可利用外加电压和外电路的作用使流过晶闸管的电流降 到接近于零的某一数值以下,即降到维持电流以下,便可使导通的晶闸管关断。 2-4图2-27中阴影部分为晶闸管处于通态区间的电流波形,各波形的电流最大值均为I m ,试计算各波形的电流平均值I d1、I d2、I d3与电流有效值I 、I 、I 。 πππ4 π4 π2 5π4a) b)c) 图1-43 图2-27 晶闸管导电波形 解:a) I d1= π21?π πωω4 )(sin t td I m =π2m I (122+)≈0.2717 I m I 1= ?π πωωπ 4 2 )()sin (21 t d t I m =2m I π 2143+≈0.4767 I m b) I d2 = π1?π πωω4)(sin t td I m =π m I ( 12 2 +)≈0.5434 I m I 2 = ? π π ωωπ 4 2) ()sin (1 t d t I m = 2 2m I π 21 43+ ≈0.6741I m c) I d3=π21?2 )(π ωt d I m =41 I m I 3 =? 2 2 ) (21π ωπt d I m = 2 1 I m 2-5上题中如果不考虑安全裕量,问100A 的晶阐管能送出的平均电流I d1、I d2、I d3各为多少?这时,相应的电流最大值I m1、I m2、 I m3各为多少? 解:额定电流I T(AV)=100A 的晶闸管,允许的电流有效值I=157A,由上题计算结果知 a) I m1≈4767.0I ≈329.35, I d1≈0.2717 I m1≈89.48 b) I m2≈ 6741 .0I ≈232.90, I d2≈0.5434 I m2≈126.56 c) I m3=2 I = 314, I d3= 4 1 I m3=78.5 2-6 GTO 和普通晶闸管同为PNPN 结构,为什么GTO 能够自关断,而普通晶闸管不能? 答:GTO 和普通晶阐管同为PNPN 结构,由P1N1P2和N1P2N2构成两个晶体管V1、V2,分别具有共基极电流增益 1α和2α, 由普通晶阐管的分析可得, 121=+αα是器件临界导通的条件。1 21>αα+两个等效晶体管过饱和而导通;

电子设计大赛电源类历年试题

全国电子设计大赛电源类历年题目 第一届(1994年)全国大学生电子设计竞赛题目 题目一简易数控直流电源 一、设计任务 设计出有一定输出电压范围和功能的数控电源。其原理示意图如下: 二、设计要求 1.基本要求 (1)输出电压:范围0~+9.9V,步进0.1V,纹波不大于10mV; (2)输出电流:500mA; (3)输出电压值由数码管显示; (4)由“+”、“-”两键分别控制输出电压步进增减; (5)为实现上述几部件工作,自制一稳压直流电源,输出±15V,+5V。

2.发挥部分 (1)输出电压可预置在0~9.9V之间的任意一个值; (2)用自动扫描代替人工按键,实现输出电压变化(步进0.1V不变);(3)扩展输出电压种类(比如三角波等)。 三、评分意见 项目得分 基本要求方案设计与论证、理论计算与分析、电路 图 30 实际完成情况50 总结报告20 发挥部分完成第一项 5 完成第二项15 完成第三项20 第三届(1997年)全国大学生电子设计竞赛题目

A题直流稳定电源 一、任务 设计并制作交流变换为直流的稳定电源。 二、要求 1.基本要求 (1)稳压电源在输入电压220V、50Hz、电压变化范围+15%~-20%条件下: a.输出电压可调范围为+9V~+12V b.最大输出电流为1.5A c.电压调整率≤0.2%(输入电压220V变化范围+15%~-20%下,空载到满载) d.负载调整率≤1%(最低输入电压下,满载) e.纹波电压(峰-峰值)≤5mV(最低输入电压下,满载) f.效率≥40%(输出电压9V、输入电压220V下,满载) g.具有过流及短路保护功能 (2)稳流电源在输入电压固定为+12V的条件下: a.输出电流:4~20mA可调 b.负载调整率≤1%(输入电压+12V、负载电阻由200Ω~300Ω变化时,

电子设计自动化大作业

第 1 页 共 4 页 班级 学号 姓名 命题教师 教研室(系)主任审核(签字) …………………………………………装…………………………………订………………………………线……………………………………… 装订线以内不准作任何标记 2012/2013学年第一学期考试题(卷) 课程名称 电子设计自动化 考试性质 考查 试卷类型 A 使用班级 电子0901~03电信0901~02 考试方法 闭卷 人 数 140 题 号 一 二 三 四 五 六 七 八 九 十 总 成 绩 成 绩 一、查阅相关资料,说出常用的EDA 工具软件分为哪几类?并写出每一类常用的软 件名称及其特点。(15分)。 目前常用的EDA 工具软件:multiSIM7(原EWB 的最新版本)、PSPICE 、OrCAD 、 PCAD 、Protel 、Viewlogic 、Mentor 、Graphics 、Synopsys 、LSIIogic 、Cadence 、MicroSim 等等。一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以进行PCB 自动布局布线,可输出多种网表文件与第三方软件接口。①SPICE (Simulation Program with Integrated Circuit Emphasis ):是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。1984年,美国MicroSim 公司推出了基于SPICE 的微机版PSPICE (Personal-SPICE )。现在用得较多的是PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA 软件,在国内普遍使用。最新推出了PSPICE9.1版本。它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库。②multiSIM (EWB 的最新版本)软件:是Interactive Image Technologies Ltd 在20世纪末推出的电路仿真软件。其最新版本为multiSIM7,目前普遍使用的是multiSIM2001,相对于其它EDA 软件,它具有更加形象直观的人机交互界面,特别是其仪器仪表库中的各仪器仪表与操作真实实验中的实际仪器仪表完全没有两样,但它对模数电路的混合仿真功能却毫不逊色,几乎能够100%地仿真出真实电路的结果,并且它在仪器仪表库中还提供了万用表、信号发生器、瓦特表、双踪示波器(对于multiSIM7还具有四踪示波器)、波特仪(相当实际中的扫频仪)、字信号发生器、逻辑分析仪、逻辑转换仪、失真度分析仪、频谱分析仪、网络分析仪和电压表及电流表等仪器仪表。还提供了我们日常常见的各种建模精确的元器件,比如电阻、电容、电感、三极管、二极管、继电器、可控硅、数码管等等。模拟集成电路方面有各种运算放大器、其他常用集成电路。数字电路方面有74系列集成电路、4000系列集成电路、等等还支持自制元器件。MultiSIM7还具有I-V 分析仪(相当于真实环境中的晶体管特性图示仪)和Agilent 信号发生器、Agilent 万用表、Agilent 示波器和动态逻辑平笔等。同时它还能进行

电子设计自动化实验报告

江苏大学京江学院Array JINGJIANG COLLEGE OF J I A N G S U U N I V E R S I T Y 课程实验报告 电子设计自动化实验报告 班级: 学号: 姓名:

实验一半加器和全加器的设计 一、实验目的 1. 进一步熟悉Max+PlusII软件的使用 2. 学习用图形输入方式和VHDL语言输入方式设计数字电路 3. 学习用元件例化语句进行结构化设计 二、实验内容 1. 用图形方式设计一位半加器 2.用VHDL语言设计一位半加器 3.用图形方式设计全加器 4.用元件例化方式设计全加器 三、设计实现 1. 用图形方式设计一位半加器

原理图: 仿真波形: 2.用VHDL语言设计全加器 原理图: 源程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY or2 IS PORT(a,b:IN STD_LOGIC;c:OUT STD_LOGIC); END ENTITY or2; ARCHITECTURE fu1 OF or2 IS BEGIN c<=a OR b; END ARCHITECTURE fu1; ---半加器描述 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY half_adder IS

PORT(a,b:IN STD_LOGIC;s,c:OUT STD_LOGIC); END ENTITY half_adder ; ARCHITECTURE fu1 OF half_adder IS BEGIN s<=a XOR b; c<=a and b; END ARCHITECTURE fu1; --1位二进制全加器顶层设计描述 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY f_adder IS PORT( A,B,Cin :IN STD_LOGIC;sum,cout :OUT STD_LOGIC;); END ENTITY f_adder IS COMPONENT h_adder2; PORT( a,b:IN STD_LOGIC; c,s :OUT STD_LOGIC); END COMPONENT; COMPONENT or2 PORT( a,b :IN STD_LOGIC; c :OUT STD_LOGIC); END COMPOMENT; SINGNAL d,e,f : STD_LOGIC; BEGIN u1 :h_adder2 PORT MAP( A,B,d,e); u2 :h_adder2 PORT MAP(d,Cin,f,sum); u3 : or2 PORT MAP(e,f,cout); END ARCHTECTURE fd1; 仿真波形: 实验二四选一数据选择器的设计 一、实验目的 1. 进一步熟悉Max+PlusII软件的使用 2. 学习VHDL语言中顺序语句和并行语句的使用

电力电子技术试题及答案(1)

《电力电子技术》试卷 一.填空(共15分,1分/空) 1.电力电子技术通常可分为()技术和()技术两个分支。 2.按驱动电路信号的性质可以将电力电子器件分为()型器件和()型器件两类,晶闸管属于其中的()型器件。 3.晶闸管单相桥式全控整流电路带反电动势负载E时(变压器二次侧电压有效值为U ,忽略主电路 2 各部分的电感),与电阻负载时相比,晶闸管提前了电角度δ停止导电,δ称为()角,数量关系为δ=()。 4.三相桥式全控整流电路的触发方式有()触发和()触发两种,常用的是()触发。 5.三相半波可控整流电路按联接方式可分为()组和()组两种。 6.在特定场合下,同一套整流电路即可工作在()状态,又可工作在()状态,故简称变流电路。 7.控制角α与逆变角β之间的关系为()。 二.单选(共10分,2分/题) 1.采用()是电力电子装置中最有效、应用最广的一种过电流保护措施。 A.直流断路器 B. 快速熔断器 C.过电流继电器 2.晶闸管属于()。 A.不可控器件 B. 全控器件 C.半控器件 3.单相全控桥式整流电路,带阻感负载(L足够大)时的移相范围是()。 A.180O B.90O C.120O 4.对三相全控桥中共阴极组的三个晶闸管来说,正常工作时触发脉冲相位应依次差()度。 A.60 B. 180 C. 120 5.把交流电变成直流电的是()。 A. 逆变电路 B.整流电路 C.斩波电路 三.多选(共10分,2分/题) 1.电力电子器件一般具有的特征有。 A.所能处理电功率的大小是其最重要的参数 B.一般工作在开关状态 C.一般需要信息电子电路来控制 D.不仅讲究散热设计,工作时一般还需接散热器 2.下列电路中,不存在变压器直流磁化问题的有。 A.单相全控桥整流电路 B.单相全波可控整流电路 C.三相全控桥整流电路 D.三相半波可控整流电路 3.使晶闸管关断的方法有。 A.给门极施加反压 B.去掉阳极的正向电压 C.增大回路阻抗 D.给阳极施加反压 4.逆变失败的原因有。 A.触发电路不可靠 B.晶闸管发生故障 C.交流电源发生故障 D.换相裕量角不足 5.变压器漏抗对整流电路的影响有。 A.输出电压平均值降低 B.整流电路的工作状态增多 C.晶闸管的di/dt减小 D.换相时晶闸管电压出现缺口 四.判断(共5分,1分/题) 1.三相全控桥式整流电路带电阻负载时的移相范围是150O。() 2.晶闸管是一种四层三端器件。()

(完整版)智能电子电路设计与制作期末试卷A

淮安信息职业技术学院2012-2013学年度第2学期 《智能电子电路设计与制作》期末试卷A 一、填空题(每空0.5分)共15分 1、MEGA16单片机I/O 端口的方向寄存器作用是(对端口输入输出选择)。 2、MEGA16单片机I/O 端口的输入寄存器作用是( 判断端口电平高低 )。 3、MEGA16单片机I/O 端口的数据寄存器作用是(对端口写入“1”或“0” )。 4、ATmega16单片机是( 8 )位单片机。 5、MCUCR 寄存器是( 控制寄存器 ),用于设置 INTO 和INT1的中断( 触发)方式。 6、GICR 寄存器是( 中断控制寄存器 ),用于设置外部中断的中断(允许 )位。 7、全局中断使能位是(状态)寄存器中的 第( 七 )位 即( BIT/7 )位。 8、TCNT0是定时器( T/C0)的(数据 )寄存器,作用是( 对计数器进行读写 )。 9、T/C0的计数时钟源可以来自( 内部 )和( 外部 )两种。 10、T/C0工作在普通模式时,( 计数初值 )由TCNTO 设置,最大值为( OXFFFF )。 11、使用MEGA16单片机的AD 相关寄存器有( AD 多工选择寄存器 )、( ADC 控制和状态寄存器A )、( ADC 数据寄存器)、( 特殊功能IO 寄存器 )。 12、MEGA16单片机TWI 相关寄存器有( TWI 比特率寄存器 )、( TWI 控制寄存器 )、( TWI 状态寄存器 )、( TWI 数据寄存器 )。 13、MEGA16单片机与SPI 相关的寄存器有( SPI 控制寄存器 )、( SPI 状态寄存器 )。 14、24C08是具有( I 2c )总线协议的非易失性存储器。 15、USART 模块的管脚发送数据管脚名称为( TXD )。 二、选择题(每题3分,共45分) 1. MCUCR 寄存器中的中断触发模式位是?(D ) A 、ICS00\ICS01 B 、ICS10\ICS11 C 、SM2 D 、A 和B 2. ATmega16的GICR 寄存器中外部中断0的中断使能位是(B ) A 、INT1 B 、INT0 C 、INT2 D 、INT3 3.多位数码管显示器通常采用(B )法显示 系部: 班级: 学号: 姓名:

河南机电高等专科学校电子设计自动化课程试卷

XX 机电高等专科学校《电子设计自动化》课程试卷 2006-2007学年第二学期 考试说明 为了避免考生在考试中因非技能因素影响考试成绩,特此将考试时值得注意的问题说明如下:请考生在考试前仔细阅读本考试说明,正式考试时按照本考试说明正确建立考生文件夹并保存考试结果文件。 在“资源管理器”中E 盘根目录下新建一个文件夹,文件夹 适用班级: 供电051、052;电力051-054; 电器051、052 出卷时间: 2007-4-17 考试方式: 闭卷 考试时间: 120分钟 课程性质: 考试 试卷类型: 样卷 一、原理图设计(60分) protel 设计,命名为Test.ddb 。在该设计中建立原理图文件,命名为One.Sch ,正确画出下图,并保存在该设计中。

图1 One.Sch

注:上图各元件参考模型如下表所示(元件序号可与下表所列不一致,但同一个序号不能重复出现): 二、PCB封装设计(10分) 元件封装,命名为Key,其尺寸和参考外形如下图所示:

图2 Key封装参考外形与尺寸 得分评卷人 三、PCB板绘制(共30分) 命名为Two.pcb,将One.sch各元件填上封装后,制成双面PCB板,该参考板如下图3所示,各元件参考封装如下表2所示。 元件类型元件序号封装 参考库 Part Type Designator Footprint 1N4001 D1 DIODE0.4 PCB Footprints.lib 1N4001 D2 DIODE0.4 PCB Footprints.lib 1N4001 D4 DIODE0.4 PCB Footprints.lib 1N4001 D3 DIODE0.4 PCB Footprints.lib 4 HEADER JP1 SIP4 PCB Footprints.lib 4 HEADER JP2 SIP4 PCB Footprints.lib 10k R1 AXIAL0.3 PCB Footprints.lib 100u C4 RB.2/.4 PCB Footprints.lib 100u C3 RB.2/.4 PCB Footprints.lib 150p C1 RAD0.1 PCB Footprints.lib ADC0804 U1 DIP20 PCB Footprints.lib CON4 J3 SIP4 PCB Footprints.lib DB25 J1 DB25/M PCB Footprints.lib SN74HC157 U2 DIP16 PCB Footprints.lib

王兆安版电力电子技术试卷及答案

20××-20××学年第一学期期末考试 《电力电子技术》试卷(A) (时间90分钟 满分100分) (适用于 ××学院 ××级 ××专业学生) 一、 填空题(30分,每空1分)。 1.如下器件:电力二极管(Power Diode )、晶闸管(SCR )、门极可关断晶闸管(GTO )、电力晶体管(GTR )、电力场效应管(电力MOSFET )、绝缘栅双极型晶体管(IGBT )中,属于不可控器件的是________,属于半控型器件的是________,属于全控型器件的是________;属于单极型电力电子器件的有________,属于双极型器件的有________,属于复合型电力电子器件得有 ________;在可控的器件中,容量最大的是________,工作频率最高的是________,属于电压驱动的是________,属于电流驱动的是________。(只写简称) 2.单相桥式全控整流电路中,带纯电阻负载时,α角移相范围为 _,单个晶闸管所承受的最大正向电压和反向电压分别为 和 ;带阻感负载时,α角移相范围为 ,单个晶闸管所承受的最大正向电压和反向电压分别为 和 。 3.直流斩波电路中最基本的两种电路是 和 。 4.升降压斩波电路呈现升压状态时,占空比取值范围是__ _。 5.与CuK 斩波电路电压的输入输出关系相同的有 、 和 。 6.当采用6脉波三相桥式电路且电网频率为50Hz 时,单相交交变频电路的输出上限频率约为 。 7.三相交交变频电路主要有两种接线方式,即 _和 。 8.矩阵式变频电路是近年来出现的一种新颖的变频电路。它采用的开关器件是 ;控制方式是 。 9.逆变器按直流侧提供的电源的性质来分,可分为 型逆变器和 型逆变器。 10.把电网频率的交流电直接变换成可调频率的交流电的变流电路称为 。 二、简答题(18分,每题6分)。 1.逆变电路多重化的目的是什么?如何实现?串联多重和并联多重逆变电路各应用于什么场合? 2.交流调压电路和交流调功电路有什么异同? 3.功率因数校正电路的作用是什么?有哪些校正方法?其基本原理是什么? 三、计算题(40分,1题20分,2题10分,3题10分)。 1.一单相交流调压器,电源为工频220V ,阻感串联作为负载,其中R=0.5Ω,L=2mH 。 试求:①开通角α的变化范围;②负载电流的最大有效值;③最大输出功率及此时电源侧的功率因数;④当2πα=时,晶闸管电流有效值,晶闸管导通角和电源侧功率因数。 2..三相桥式电压型逆变电路,工作在180°导电方式,U d =200V 。试求输出相电压的基波幅值U UN1m 和有效值U UN1、输出线电压的基波幅值U UV1m 和有效值U UV1、输出线电压中7次谐波的有效值U UV7。 3 .如图所示降压斩波电路E=100V ,L 值极大,R=0.5Ω,E m =10V ,采用脉宽调制控制方式,T=20μs ,当t on =5μs 时,计算输出电压平均值U o ,输出电流平均值

最新电力电子技术试题及答案(1)

德州科技职业学院机电系14级机电专业 期末考试试题 《电力电子技术》试卷 一、选择(每题1.5分,共60分) 1、 晶闸管内部有( )个PN 结。 A 、1 B 、2 C 、3 D 、4 2、晶闸管在电路中的门极正向偏压( )越好。 A 、越大 B 、越小 C 、不变 D 、越稳定 3、晶闸管的通态电流(额定电流)是用电流的( )来表示的。 A 、有效值 B 、最大值 C 、平均值 D 、瞬时值 4、双向晶闸管是用于交流电路中的,其外部有( )个电极。 A 、一个 B 、两个 C 、三个 D 、四个 5、下列电力半导体器件电路符号中,表示IGBT 器件电路符号的是( ) 6、比较而言,下列半导体器件中开关速度最快的是( ) A 、IGBT B 、MOSFET C 、GTR D 、GTO 7、比较而言,下列半导体器件中开关速度最慢的是( ) A 、IGBT B 、MOSFET C 、GTR D 、GTO 8、比较而言,下列半导体器件中性能最好的是( ) A 、IGBT B 、MOSFET C 、GTR D 、GTO 9、比较而言,下列半导体器件中输入阻抗最大的的是( ) A 、IGBT B 、MOSFET C 、GTR D 、GTO 10、下列半导体器件中属于电流型控制器件的是( ) A 、IPM B 、MOSFET C 、IGBT D 、GTO 11、逆变电路输出频率较高时,电路中的开关元件应采用( ) A 、晶闸管 B 、单结晶体管 C 、电力晶体管 D 、绝缘栅双极型晶体管 12、电力场效应管MOSFET 适于在( )条件下工作 A 、直流 B 、低频 C 、中频 D 、高频 13、要使绝缘栅双极型晶体管导通,应( ) A 、在栅极加正电压 B 、在集电极加正电压 C 、在栅极加负电压 D 、

网站设计与制作试卷和答案

第一部分应知考试题库 2.1.2网页制作基础 1.填空题 (1)网页一般分为()网页和()网页。 (2)HTML是()的缩写,意思为()。(3)网页主要由()、()、()、超链接等基本元素构成。(4)常用的制作网页的专门工具有()和()。 (5)如果属性面板被隐藏了,可以通过执行()菜单下的“属性”命令来打开。2.选择题 (1)下面文件属于静态网页的是______。 (2)属于网页制作工具的是______。 A.photoshop B.flash C.dreamweaver D.cuteFTP (3)用于调整编辑窗口中被选中元素的属性的面板是_____ A.插入面板 B.属性面板 C.设计面板 D.文件面板 (4)在网页中经常用的两种图像格式是_______。 A.bmp和jpg B.gif和bmp C.png和bmp D.gif和jpg (5)下列说法正确的是_____。 A.动态网页使用应用程序解释器但不使用后台数据库 B.动态网页不使用应用程序解释器但使用后台数据库 C.动态网页不使用应用程序解释器也不使用后台数据库 D.动态网页使用应用程序解释器也使用后台数据库 2.1.3网站的创建与管理 1.选择题 (1)如果正在编辑的文件没有存盘,系统在文件名上加上____符号提示用户。 A.! B. C.# D.* (2)在“资源面板”中没有列出的资源是______。 A.文本 B.图像 C.颜色 D.脚本 (3)保存网页文档的快捷键是__________。 A.Ctrl+A B.Ctrl+S C.Ctrl+W D.Ctrl+N (4)下列哪一种视图不属于“文件面板”中视图列表中的视图类型 A.本地视图 B.地图视图 C.远程视图 D.大纲视图 (5)定义站点时,存放网页的默认文件夹为__________。 A.C盘根目录 B.D盘根目录 C.我的文档 D.没有默认文件夹,必须由用户指定 2.1.4页面的整体控制 1.选择题 (1)打开页面属性对话框,使用_______功能键。 A.Ctrl+K B.Ctrl+J C.Ctrl+M D. Ctrl + F (2)下面的颜色中,____表示黄色。 A.#FFFF00 B.#FFOOFF C.#00FFFF D.#00FF00 (3)当网页既设置了背景图像又设置了背景色,那么_____。 A.以背景图像为主 B.以背景色为主

电子设计自动化(EDA)期末必考题目汇总

电子设计自动化期末必考题目汇总 1、EDA的英文拼写:electronic design automation 2、Eda的设计方法:两种一种为由底向上的设计方法即传统的设计方法,一种是自顶向下的设计方法即现代设计方法。 3、自顶向下分为三个阶段:系统设计、系统的综合优化、系统的实现 4、系统的设计流程主要包括:系统的功能分析、系统的结构设计、系统的描述、系统的功能仿真。 5、适配器:结构综合器,功能是将由综合器产生的网表文件配置与指定的目标器件中,使之产生最终的下载文件中。 6、FPGA芯片对应的是:sof文件,CPLD芯片对应的是pof文件 7、FPLD:现场可编程逻辑器件,FPGA:现场可编程门阵列,CPLD:复杂现场可编程逻辑阵列 8、可编程逻辑器件分为:FPGA和CPLD其中CPLD断电后不丢失。 9、基于乘积项的PLD分为三部分:宏单元、PIA、I/O控制板10、quartus软件的波形文件名为.vwf 11、VHDL语言的特点: (1)、VHDL是工业标准的文本格式语言。 (2)、具有强大的描述能力。 (3)、VNDL能同时支持方针和综合。 (4)、VHDL语言是并发执行的语句 (5)、VHDL支持结构化设计和top-down设计方法(6)、VHDL的描述与工艺无关 12、STD-logic型数据能够在数字器件中实现的只有四种即“-”、“0”、“1”、“z”但这并不表明其他5种值不存在,这9种值对于VHDL的行为仿真都有重要意义。 13、用户自定义的数据类型:枚举类型、整数类型、数组类型(所有的值必须一样)、记录类型(值得类型可以不一样)、事件类型、实数类型。 14、数据类型转换分为两种:类型转换函数方式、直接类型转15、换方式常量说明语句所允许的设计单元有:实体,结构体、程序包、块、进程、子程序 16信号与变量的区别: (1)、赋值语句的不同,信号赋值用“《=”而变量为“:=” (2)、通常变量的值可以给信号赋值但信号的值却不能给变量赋值

电子设计自动化课程设计报告

电子设计自动化课程设计报告

电子设计自动化课程设计报告

学生姓名: 学号: 课设题目: VGA彩条信号显示控制器设计同组人:

电子设计自动化课程设计报告 郝欣欣 一、课程设计内容 1、使用Verilog语言和Modelsim仿真器完成可显示横彩条、竖彩条、棋盘格相间的VGA控制器的设计和验证 2、设计并验证可显示英语单词”HIT”的VGA 控制器 3、使用Quartus II和SOPC实验箱验证设计的正确性 4、Verilog代码要符合微电子中心编码标准 二、FPGA原理 CPLD、FPGA是在PAL、GAL等基础上发展起来的一种具有丰富的可编程I/O 引脚、逻辑宏单元、门电路以及RAM空间的可编程逻辑器件,几乎所有应用门阵列、PLD和中小规模通用数字集成电路的场合均可应用FPGA和CPLD器件。CPLD的设计是基于乘积项选择矩阵来实现的,而FPGA基于查找表来设计的。查找表就是实现将输入信号的各种组合功能以一定的次序写入RAM中,然后在输入信号的作用下,输出特定的函数运算结果。其结构图如图1所示: 图1. FPGA查找表单元 一个N输入查找表(LUT,Look Up Table)可以实现N个输入变量的任何逻辑功能,如N输入“与”、N输入“异或”等。

输入多于N个的函数、方程必须分开用几个查找表(LUT)实现(如图2 所示)。 图2 FPGA查找表单元内部结构 该系统设计中,FPGA芯片用的是ALTERA公司的EP1K30QC208-2,它的系统结构如图3所示。它由若干个逻辑单元和中央布线池加I/O端口构成

图3 EP1K30QC208内部结构 三、VGA接口 VGA的全称为Video Graphic Array,即显示绘图阵列。在PC行业发展的初期,VGA以其支持在640X480的较高分辨率下同时显示16种色彩或256种灰度,同时在320X240分辨率下可以同时显示256种颜色的良好特性得到广泛支持。后来,厂商们纷纷在VGA基础上加以扩充,如将显存提高至1M并使其支持更高分辨率如800X600或1024X768,这些扩充的模式就称之为VESA(Video Electronics Standards Association,视频电子标准协会)的Super VGA模式,简称SVGA,现在的显卡和显示器都支持SVGA模式。 图4 VGA接口 VGA接口就是显卡上输出模拟信号的接口,也叫D-Sub接口。VGA接口是一种D型接口,上面共有15针空,分成三排,每排五个。VGA接口是显卡上应用最为广泛的接口类型,绝大多数的显卡都带有此种接口。 表1 VGA管脚定义 管脚定义 1 红基色 red 2 绿基色 green 3 蓝基色 blue 4 地址码 ID Bit 5 自测试 (各家定义不同)

电力电子技术试题及答案(3)

考试试卷 一、填空题(本题共17小题,每空1分,共20分) 1、晶闸管是硅晶体闸流管的简称,常用的外形有与。 2、选用晶闸管的额定电流时,根据实际最大电流计算后至少还要乘以。 3、晶闸管的导通条件是。 4、晶闸管的断态不重复峰值电压U DSM与转折电压U BO在数值大小上应为U DSM U BO。 5、从晶闸管的伏安特性曲线可知,晶闸管具有的特性。 6、把晶闸管承受正压起到触发导通之间的电角度称为。 7、触发脉冲可采取宽脉冲触发与双窄脉冲触发两种方法,目前采用较多的是 触发方法。 8、可控整流电路,是三相可控整流电路最基本的组成形式。 9、在三相半波可控整流电路中,电感性负载,当控制角时,输出电压波形出现负值,因而常加续流二极管。 10、三相桥式整流电路中,当控制角α=300时,则在对应的线电压波形上触发脉冲距波形原点为。 11、考虑变压器漏抗的可控整流电路中,如与不考虑漏抗的相比,则使输出电压平均值。 12、有源逆变器是将直流电能转换为交流电能馈送回的逆变电路。 13、有源逆变产生的条件之一是:变流电路输出的直流平均电压U d的极性必 须保证与直流电源电势E d的极性成相连,且满足|U d|<|E d|。 14、为了防止因逆变角β过小而造成逆变失败,一般βmin应取,以保 证逆变时能正常换相。 15、载波比(又称频率比)K是PWM主要参数。设正弦调制波的频率为f r,三 角波的频率为f c,则载波比表达式为K= 。 16、抑制过电压的方法之一是用吸收可能产生过电压的能量,并用 电阻将其消耗。 17、斩波器的时间比控制方式分为、、三种方式。 二、选择题(本题共10小题,每题1分,共10分) 1、晶闸管的伏安特性是指( ) A、阳极电压与门极电流的关系 B、门极电压与门极电流的关系 C、阳极电压与阳极电流的关系 D、门极电压与阳极电流的关系

《网页设计与制作》试卷及答案

浙江传媒学院《网页设计与制作》期终(考试)卷 学院 班 姓名 学号 总分 题号 一 二 三 四 五 六 题分 一、单选题(每小题1分,共30分) 1、 设置字体大小时,选择"无",代表( )。 号字 号字 号字 号字 2、 在HTML 源代码中,图像的属性用( )标记来定义。 3、 在页面属性对话框中,我们不能设( )。 A.网页的标题 B.背景图像的透明度 C.背景图像 D.超链接文本的颜 色 4、 图像属性面板中的热区按钮不包括( )。 A.方形热区 B.圆形热区 C.三角形热区 D.不规则形热区 5、 用于同一个网页内容之间相互跳转的超链接是( )。 A.图像链接 B.空链接 C.电子邮件链接 D.锚点链接 6、 将超链接的目标网页在最顶端的浏览器窗口中打开的方式是( )。 A. _parent B. _top D. _self 7、 在Flash 中,绘制直线时,按住( )键可以绘制水平线。 A. Alt B. Ctrl 8、 在Flash 中,如果要选取铅笔工具,应在绘图工具箱中单击( )工具。 A . B . C . D . 9、 要在时间轴上插入空白关键帧,应当使用的快捷键是( )。 A. F5 B. F 9 C . F8 D. F7 10、 下面对矢量图和象素图描述不正确的是:( )。 A .位图(点阵)的基本组成单元是象素 B .点阵图的基本组成单元是锚点和路径 C .Adobe Illustrator 图形软件能够生成矢量图 D .Adobe photoshop 能够生成位图 11、 对于在网络上播放动画来说,最合适的帧频率是: A.每秒24帧 B.每秒25帧 C.每秒12帧 D.每秒16帧 12、 Dreamweaver 可以导入的表格式数据的格式是( )。 A. 文本格式 格式 格式 D.任意格式 13、 如果想让页面具有相同的页面布局,那么最好使用哪种技术( )。 A.库 B.模板 C.库或模板均可 D.每个页面单独设计 14、 Dreamweaver 中,库项目可以包含( )元素。 装 订 区

电子设计自动化技术试卷1答案

试卷 第 1 页 共 1 页 试卷答案: 一、 选择题 1 2 3 4 5 6 7 8 9 10 D C A D A A A A A D 二、名词解释,写出下列缩写的英文全称和中文含义 1. EDA EDA Electronic Design Automation 电子设计自动化 2. CPLD Complex Programmable Logic Device 复杂可编程逻辑器件 3. SOPC System-on-a-Programmable-Chip 可编程片上系统 4 IEEE Institute of Electrical and Electronics Engineers 电气和电子工程师协会 5 FPGA Field -Programmable Gate Array 现场可编程门阵列 6 PLD Programmable Logic Device 可编程逻辑器件 7. JTAG JTAG Joint Test Action Group 联合测试行动小组 8. IP Intellectual Property 知识产权 9. ASIC Application Specific Integrated Circuits 专用集成电路 10. VHDL Very-High-Speed Integrated Circuit Hardware Description Language 超高速集成电路硬件描述语言 每小题写出英文全称2分,中文含义1分 三、 VHDL 程序填空 1 SEG7DEC "1101101" "1111111" 2 '1' WHEN A='0' AND B='1' ELSE 3 0 ENA='1' outy+1 4 0000000000000000 D sreg(1 5 downto 1)<=sreg(14 downto 0) 每空3分 四、 1. 时序电路的初始状态常常由复位信号来设置。根据复位信号复位时机的不同,可将复位分为同步复位和异步复位两种(4分)。所谓同步复位,就是当复位信号有效且在给定的时钟边沿到来时,电路才被复位(3分),此时复位的状态与时钟同步,有助于信号的稳定和系统毛刺的消除;异步复位状态与时钟状态不要求同步,一旦复位信号有效,电路就被复位(3分)。 2. 主要PLD 厂商: 1) Altera :Altera 公司在20世纪90年代以后发展很快,是最大的可编程逻辑器件供应商之一。 (2分) 2) Xilinx: FPGA 的发明者,老牌PLD 公司,是最大的可编程逻辑器件供应商之一。(2分) 3) Lattice (2分) CPLD/FPGA 器件的开发设计一般可以分为设计输入(1分)、设计实现(1分)、设计校验(1分)和下载编程(1分)四个步骤。

电子设计与制作试卷(A)

班级: 姓名: 考号: 学号 ………………………………密………………………封………………………………线…………………………… 电信学院09级应用电子技术专业专科《电子设计与制作》试卷(A ) 说明:本试卷共四页、四道大题,答卷一律在试卷规定处填写,答在其它处不得分。 一、填空题(本题共3小题,每空2分,共10分。) 1、CAD (Computer Aided Design )是 的简称。 2、原理图中能够表示元件引脚之间电气连接关系的有 、 和 。 3、在双面板和多层板中,可以使用 实现不同导电层之间的电气互联。 二、判断题(本题共10小题,每小题2分,共20分。) 1、网络标号、总线和总线分支线都具有电气特性。 ( ) 2、原理图中具有相同网络标号的导线,都被看作同一条导线。 ( ) 3、一个元件只有一种电气图形符号,但可以有不同的封装形式。 ( ) 4、封装形式DIP20能够进行旋转、对称操作。 ( ) 5、对同一电路来说,原理图中与印制板中元件的连接关系应完全相同。 ( ) 6、色环电阻的表示方法是:每一色环代表一位有效数字。 ( ) 7、变压器有变换电压和变换阻抗的作用。 ( ) 8、二极管和三极管在电路上的作用相同。 ( ) 9、电感的单位是用大写字母L 表示。 ( ) 10、发光二极管(LED )通常情况下脚长的为负极,脚短的为正极。 ( ) 三、单项选择题 (本题共10小题,每小题4分,共40分。) 1、电阻常用的封装形式为 ( ) A RAD0.2 B RB.2/.4 C AXIAL0.4 D DIODE0.4 2、Protel 99 SE 中原理图文件的扩展名为 ( ) A *.Schlib B *.Sch C *.SchDoc D *.Sdf 3、在编辑区内,要使处于激活状态的元件旋转90°,可按下键盘上的 ( ) A 回车键 B X 键 C Y 键 D 空格键 4、同一电路板内,印制导线宽度最大的一般是 ( ) A 信号线 B 地线 C 电源线 D 飞线 5、对电路原理图进行电气规则检查后形成的报告文件格式是 ( ) A *.ERC B *.ERR C *.DRC D *.REP 6、要实现编辑区的刷新功能,可以按下键盘上的 ( ) A End 键 B Alt 键 C Tab 键 D Ctrl 键 7、要缩小编辑区可以使用键盘上的 ( ) A Page Up 键 B Page Down 键 C Home 键 D End 键 8、参数设置中,用于锁定栅格并设定光标位移步长的参数是 ( ) A Grid B Visible Grid C Snap Grid D Electrical Grid 9、创建或修改元件封装图时,必须启动的编辑器是 ( ) A Schematic B PCB C Schematic Library D PCB Library 10、在印制电路板中,元件的外形、序号和说明性文字一般放在 ( ) A Multi Layer B Keep Out Layer C Top Overlayer D Top Layer 四、简答题(本题共5小题,每小题6分,共30分。)

电子设计自动化实验报告

*************** 实验报告 课程名称:电子设计自动化小组成员及学号:_______________ _________ _______________ _______________ _______________ ********************** ***********

应用程序,启动protel99se。 3、创建一个新的设计数据库文件 步骤:1). 【File】|【New】 2). 单击Browse按钮,选择文件的存储位置,Protel 99 SE默认文件名为desigh .ddb”。 3). 单击【OK】们就创建了一个新的设计数据库文件。 4、启动原理图编辑器 步骤:1). 【File】|【New】 2). 单击Schematic Document 【OK】或直接双击 3). 单击Explore 下的Sheet1或直接双击工作窗口中的Sheet1

1).更改屏幕分辨率 2).界面字体设置 8、在Protel99se中建立自己的设计数据库Design.ddb。

实验内容与分析: 1).设置电路图纸 假定系统已进入原理图编辑器,提出以下要求: A.图纸大小:B号; B.图纸方向:水平方向放置; C.标题栏型式:标准型标题栏。 2).将库文件“Miscellaneous Devices.ddb”, “Dallas Microprocessor.ddb”,“Intel Databooks.ddb”, “Protel Dos Schematic Libraries.ddb”依次装入。 3、放置元件 在元件库中选定所需元件,然后放置元件到工作平面上。 4、删除元器件 1)菜单命令【Edit】|【Delete】 2)当光标变为十字形后,将光标移到要删除的元件处,单击鼠标左键即可将所指元件删除。此后,程序仍处于删除命令状态,若要退出单击鼠标右键或按ESC 键退出命令状态。 5、元件移动 菜单命令:【Edit】|【Move】|【Move】

相关文档
最新文档