带有秒表功能数字时钟的设计毕业设计论文

带有秒表功能数字时钟的设计毕业设计论文
带有秒表功能数字时钟的设计毕业设计论文

毕业论文声明

本人郑重声明:

1.此毕业论文是本人在指导教师指导下独立进行研究取得的成果。除了特别加以标注地方外,本文不包含他人或其它机构已经发表或撰写过的研究成果。对本文研究做出重要贡献的个人与集体均已在文中作了明确标明。本人完全意识到本声明的法律结果由本人承担。

2.本人完全了解学校、学院有关保留、使用学位论文的规定,同意学校与学院保留并向国家有关部门或机构送交此论文的复印件和电子版,允许此文被查阅和借阅。本人授权大学学院可以将此文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本文。

3.若在大学学院毕业论文审查小组复审中,发现本文有抄袭,一切后果均由本人承担,与毕业论文指导老师无关。

4.本人所呈交的毕业论文,是在指导老师的指导下独立进行研究所取得的成果。论文中凡引用他人已经发布或未发表的成果、数据、观点等,均已明确注明出处。论文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究成果做出重要贡献的个人和集体,均已在论文中已明确的方式标明。

学位论文作者(签名):

年月

关于毕业论文使用授权的声明

本人在指导老师的指导下所完成的论文及相关的资料(包括图纸、实验记录、原始数据、实物照片、图片、录音带、设计手稿等),知识产权归属华北电力大学。本人完全了解大学有关保存,使用毕业论文的规定。同意学校保存或向国家有关部门或机构送交论文的纸质版或电子版,允许论文被查阅或借阅。本人授权大学可以将本毕业论文的全部或部分内容编入有关数据库进行检索,可以采用任何复制手段保存或编汇本毕业论文。如果发表相关成果,一定征得指导教师同意,且第一署名单位为大学。本人毕业后使用毕业论文或与该论文直接相关的学术论文或成果时,第一署名单位仍然为大学。本人完全了解大学关于收集、保存、使用学位论文的规定,同意如下各项内容:

按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存或汇编本学位论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入学校有关数据库和收录到《中国学位论文全文数据库》进行信息服务。在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。

论文作者签名:日期:

指导教师签名:日期:

电子系统设计应用论文题目: 带有秒表功能数字时钟的设计

毕业设计(论文)原创性声明和使用授权说明

原创性声明

本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。

作者签名:日期:

指导教师签名:日期:

使用授权说明

本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。

作者签名:日期:

学位论文原创性声明

本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。

作者签名:日期:年月日

学位论文版权使用授权书

本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。

涉密论文按学校规定处理。

作者签名:日期:年月日

导师签名:日期:年月日

注意事项

1.设计(论文)的内容包括:

1)封面(按教务处制定的标准封面格式制作)

2)原创性声明

3)中文摘要(300字左右)、关键词

4)外文摘要、关键词

5)目次页(附件不统一编入)

6)论文主体部分:引言(或绪论)、正文、结论

7)参考文献

8)致谢

9)附录(对论文支持必要时)

2.论文字数要求:理工类设计(论文)正文字数不少于1万字(不包括图纸、程序清单等),文科类论文正文字数不少于1.2万字。

3.附件包括:任务书、开题报告、外文译文、译文原文(复印件)。

4.文字、图表要求:

1)文字通顺,语言流畅,书写字迹工整,打印字体及大小符合要求,无错别字,不准请他人代写

2)工程设计类题目的图纸,要求部分用尺规绘制,部分用计算机绘制,所有图纸应符合国家技术标准规范。图表整洁,布局合理,文字注释必须使用工程字书写,不准用徒手画3)毕业论文须用A4单面打印,论文50页以上的双面打印

4)图表应绘制于无格子的页面上

5)软件工程类课题应有程序清单,并提供电子文档

5.装订顺序

1)设计(论文)

2)附件:按照任务书、开题报告、外文译文、译文原文(复印件)次序装订

3)其它

带有秒表功能数字时钟的设计

摘要:本次设计以AT89C52芯片为核心,辅以必要的外围电路,设计了一个简易的数字电子时钟,它由9V直流电源供电。在硬件方面,除了CPU外,使用六个七段LED数码管显示,LED采用的是动态扫描显示,通过调试LED能够比较准确显示时、分、秒。四个个简单的按键实现对时间的调整。软件方面采用。整个电子时钟系统能够完成时间的显示,调时,定时闹钟,复位等功能。软件方面采用汇编语言编程,使用keil软件进行在线的程序烧写,涉及了单片机的定时和中断的使用

关键词:数字钟;秒表;AT89C52;

The Design and Manufacture of Digital Electron Clock

Abstract:The AT89C2051 chip design at the core,with the necessary peripheral circuits,designed a simple digital clock, it is powered by 9V DC power supply. In terms of hardware, in addition to CPU, the use of six LED Seven-Segment LED display, LED used is a dynamic scan showed that the use of 9014 to drive the chip. Through more accurate debug LED can display hours, minutes. Three simple keys to achieve the adjustment of time. Software using assembly language programming. The entire electronic time clock system to complete the display, transfer, the timing clock and reset functions.

Software using assembly language programming, the use of online keil software programmer procedures, involving a single-chip timing and the use of interruptions.

Key words:electron clock;51 series MCU;AT89C52;

目录

摘要 ...................................................................................................................................................... I V Abstract................................................................................................................................................. I V 第一章绪论 (1)

1.1选题的依据及意义 (1)

1.2 国内外研究现状及发展趋势 (1)

1.3 本课题研究内容 (2)

第二章数字钟的系统分析与设计 (2)

2.1 设计要求 (2)

2.2 整体方案设计 (2)

2.2.1 芯片的选择 (3)

2.2.2 显示模块选择方案和论证 (3)

2.2.3 时钟芯片的选择方案 (3)

第三章系统的硬件设计与实现 (4)

3.1 数字钟电路设计框图 (4)

3.2 最小系统设计 (4)

3.3 显示电路 (5)

3.3.1 LED数码显示器有两种连接方法 6

3.4 按键电路及总设计图 (6)

第四章软件设计 (8)

4.1 主程序执行流程 (8)

4.2时钟设置功能子程序 (9)

4.3 T0中断服务程序框图9 第五章系统调试与仿真 (11)

5.1 系统仿真 (11)

5.2 软件调试 (11)

5.3 硬件调试 (12)

5.4 测试结论 (12)

结论 (12)

参考文献 (14)

附录 (15)

附录一:硬件电路仿真图 15 附录二:硬件电路原理图 16 附录三:实物图 17

第一章绪论

1.1 选题的依据及意义

单片机模块中最常见的是数字钟,数字时钟路技术实现时、分、秒与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。单片机应用的重要意义还在于,它从根本上改变了传统的控制系统设计思想和设计方法。从前必须由模拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法来实现了。这种软件代替硬件的控制技术也称为微控制技术,是传统控制技术的一次革命[1]。

20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来了极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。尽管目前市场上已有现成的数字钟集成电路芯片出售,价格便宜、使用也方便,但鉴于数字钟电路的基本组成包含了数字电路的主要组成部分,因此进行数字钟的设计是必要的,研究数字钟及扩大其应用,有着非常现实的意义。

数字电子钟具有走时准确,一钟多用等特点,在生活中已经得到了广泛的应用。虽然现在市场上已有现成的电子钟集成电路芯片出售,价格便宜,

1.2 国内外研究现状及发展趋势

数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式的时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,已得到广泛的使用。目前,数字钟得设计方法有很多种。例如,可用中小规模集成电路组成数字钟;也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成数字钟;还可以利用单片机来实现数字钟等等。这些方法都各有其特点,其中利用单片机实现的数字钟具有编程灵活,并便于功能的扩展。

数字钟一般由振荡器,分频器,译码器,显示器等部分组成,这些都是数字电路中最基本的,应用最广的电路。当前市场上已有现成的数字钟集成电路芯片出售,价格较便宜。由于数字集成电路技术的发展,使数字钟具有走时准确,性能稳定,携带方便等特点,是目前人们生活和工作补课或缺的报时用品。

现在是一个知识爆炸的新时代。新产品、新技术层出不穷,电子技术的发展更是日新月异。人们对数字钟的要求也越来越高,传统的时钟已不能满足人们的需求。多功能数字钟不管在性能还是在样式上都发生了质的变化。有电子闹钟,数字闹钟等。单片机在多功能数字钟的应用已是非常普遍的。由单片机作为数字钟的核心控制器,可以通过它的时钟信号进行计时实现计时功能,将其时间数据经单片机输出,利用显示模块将其显示出来。

本论文采用AT89C52单片机时钟芯片,按键电路对时间秒、分、时进行设置,最后用数码管显示出来。

1.3 本课题研究内容

基于AT89C52单片机数字钟由电源电路、单片机主控电路、按键控制电路和蜂鸣器等组成。本次设计就是通过单片机为主控电路。

第二章数字钟的系统分析与设计

2.1 设计要求

基于AT89C52单片机的数字时钟晶振采用12MHZ,设计功能如下:

(1)24小时计时功能(精确到秒)

(2)整点报时功能

(3)闹钟功能

(4)小时/分钟调整功能

(5)秒表功能

(6)省电模式功能

2.2 整体方案设计

本时钟的设计具体有三种方法。

方案一:基于数字电路的数字钟。传统的数字钟以最为基本的数字电路来实现的。设计复杂,体积大,运行稳定性不好。所以不考虑。

方案二:利用硬件描述语言结合PLD器件可以极大地方便数字集成电路设计,利用VHDL 硬件描述语言结合FPGA器件设计一个具有基本计时和调整时间功能的数字钟。

方案三:通过单片机AT89C52芯片为主控电路,首先使用Professional 软件进行绘制硬件电路图,用keil软件进行编程与调试,最终生成hex文件,传入单片机内部,从而实现仿真效果。此次设计的多功能数字时钟具有显示时、分、秒、及对各日期与时间的调整、校正功能。整个时钟通过按键来实现各个功能。显示部分用四位一体和两位一体的共阳数码管显示。

三种方案比较:方案一设计复杂,体积大,运行稳定性不好,所以不考虑。方案二FPGA 是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。方案三利用单片微型计算机编写软件程序来设计数字钟,体现了现代计算机工具的方便、简捷、准确性,便于单片微型计算机应用技术的推广。本次设计采用方案三

2.2.1 芯片的选择

方案一:AVR单片机是Atmel 公司推出的较为新颖的单片机,其显著的特点为高

性能、高速度、低功耗[11]。它取消机器周期,以时钟周期为指令周期,实行流水作业。

AVR单片机指令以字为单位,且大部分指令都为单周期指令。而单周期既可执行本指令

功能,同时完成下一条指令的读取。通常时钟频率用4~8MHz,故最短指令执行时间

为250~125ns。该系列的型号较多,但可用下面三种为代表:AT90S2313(简装型)、

AT90S8515、AT90S8535(带A/D转换)[6]。

方案二:采用AT89C52芯片,它除了具备AT89C51的所有功能与部件外,其最大的

优势就是AT89C52提供了8K字节可擦写Flash闪速存储器空间、8个中断源、及256*8

字节内部存储器(RAM),解决了我们对可反复擦写的Flash闪速存储器空间大小与中断

源的不够问题的担心。

2.2.2 显示模块选择方案和论证

方案一:采用LED液晶显示屏,液晶显示屏的显示功能强大,可显示大量文字,图形,显示多样,清晰可见,但是价格昂贵,需要的接口线多,所以在此设计中不采用LED液晶显示屏。

方案二:采用点阵式数码管显示,点阵式数码管是由八行八列的发光二极管组成,对于显示文字比较适合,如采用在显示数字显得太浪费,且价格也相对较高,所以也不用此种作为显示。

方案三:采用LED数码管进行静态显示,LED数码管价格适中,对于显示数字最合适,而且采用静态显示法与单片机连接时,占用的单片机口线少(采用二十四小时制显示,以P0,P1.P2,P3口分别作为秒、分、小时位显示段码输出。

2.2.3 时钟芯片的选择方案

方案一:采用DS1302时钟芯片实现时钟,DS1302芯片是一种高性能的时钟芯片,可自动对秒、分、时、日、周、月、年以及闰年补偿的年进行计数,精度也比较高,工作电压2.5V-5.5V范围内,功耗也较低,但价格比较贵。

方案二:直接采用单片机定时计数器提供秒信号,使用程序实现时、分、秒计数。采用此种方案实现虽然有一定的时间误差,但可减少少芯片的使用,节约成本,易于实现,符合初学者实验选用。所以选用方案二

第三章系统的硬件设计与实现

3.1 数字钟电路设计框图

基于AT89C52单片机数字钟由电源电路、单片机主控电路、按键控制电路和蜂鸣器等组成。本次设计就是通过单片机为主控电路,通过电路仿真而实现。

3.2 最小系统设计

单片机要正常运行,必须具备一定的硬件条件,其中最主要的就是三个基本条件:(1)电源正常(2)时钟正常;(3)复位正常。AT89S51的引脚如图3-2所示。

1.时钟电路

时钟是单片机的心脏,单片机各功能部件的运行都是以时钟频率为基准,有条不絮的一拍一拍地工作。因此,时钟频率直接影响单片机的速度,时钟电路的质量也直接影响单片机系统的稳定性。常用的时钟有两种方式:一种是内部时钟方式,另一种为外部时钟方式。本文用的是内部时钟方式。

AT89S51单片机内部有一个用于构成振荡器的高增益反相放大器,该高增益反向放大器的输入端为芯片引脚XTAL1,输出端为引脚XTAL2。这两个引脚跨接石英晶体振荡器和微调电容,就构成一个稳定的自激振荡器。

2.复位电路

为了初始化单片机内部的某些特殊功能寄存器,必须采用复位的方式,复位后可使CPU 及系统各部件处于确定的初始状态,并从初始状态开始正常工作。单片机的复位是靠外电路来实现的,在正常运行情况下,只要RST引脚上出现两个机器周期时间以上的高电平,即可引起系统复位,但如果RST引脚上持续为高电平,单片机就处于循环复位状态。复位后系统将输入/输出(1/0)端口寄存器置为FFH,堆栈指针SP置为07H,其余的寄存器全部清0,内部RAM的状态不受复位的影响,在系统上电时RAM的内容是不定的。复位操作有两种情况,即上电复位和手动(开关)复位。本系统采用上电复位方式。上电复位电路中的电阻R取为1KΩ,C取为10PF。

图3—2 单片机最小系统

3.3显示电路

本次设计的显示模块是由一个四位一体的共阳数码管和一个两位一体的共阳数码管来显示时间。采用LED数码管进行静态显示。如图3—3所示

图3-3 LED数码显示器

3.3.1 LED数码显示器有两种连接方法

共阴极接法。把发光二极管的阴极连在一起构成公共阴极,使用时公共阴极接地。每个发光二极管的阳极通过电阻与输入端相连。当阳极端输入高电平时,段发光二极管就导通点亮,而输入低电平时则不点亮。

共阳极接法:把发光二极管的阳极连在一起构成公共阳极,使用时公共阳极接+5V,每个发光二级管的阴极通过电阻与输入端相连。当阴极端输入低电平时,段发光二级管就导通点亮,而输入高电平时则不点亮。

本次设计采用的数码管共阳接法。如图3—3中b图所示。

图3—4 LED显示结构及管脚图

3.4 按键电路及总设计图

按键电路如图所示,按键的开关状态通过一定的电路转换为高、低电平状态。按键闭合过程在相应的I/O端口形成一个负脉冲。闭合和释放过程都要经过一定的过程才能达到稳定,这一过程是处于高、低电平之间的一种不稳定状态,称为抖动。抖动持续时间的常长短与开关的机械特性有关,一般在5—10ms之间。为了避免CPUD多次处理按键的一次闭合,应采用措施消除抖动。本文采用的是独立式按键,直接用I/O口线构成单个按键电路,每个按键占用一条I/O口线,每个按键的工作状态不会产生互相影响。

图3—5 按键电路

P1.0口:表示功能移位键和数字“+”键,按键选择要调整的时、分、秒的切换。按键一下则对应的数字加1

P1.1口:表示在数字“-“键和跑表键,在调整时、分、秒的状态下,按一下则对应的数字减1。在时间正常显示时,按一下则开始跑表。

P1.4口:表示闹钟键。

第四章软件设计

本设计的软件程序包括主程序、中断子程序、闹钟设定子程序、时钟显示子程序以及延时子程序等。

4.1主程序流程图

图4—1 主程序流程图

4.2时钟设置功能子程序

图4—2 时钟设置功能子程序

4.3 T0中断服务程序框图

定时器/计数器T0用于时间计时。选择方式1,重复定时,定时时间设为5ms,定时时间到则中断,在中断服务程序中用一个计数器对5ms计数,计200次则对秒单元加1,秒单元加到60则对分单元加1,同时秒单元清0;分单元加到60则对时单元加1,同时分单元清0,;时单元加到24则对时单元清0,标志一天时间计满。在对各单元计数的同时,把它们的值到存储单元的指定位置。定时器/计数器T0中断服务程序流程图如图4—3所示

图4—3 T0中断服务程序框图

第五章系统调试与仿真

5.1 系统仿真

在硬件和软件都能实现的条件下,利用Proteus进行仿真,通过不停的调试与改正,最后终于实现了数字电压表的功能,Proteus仿真结果如图5-1所示

图5—1 系统仿真Proteus仿真图

5.2软件调试

打开程序调试软件Keil uVision2,在里面新建一个工程,命名为:数字时钟.Uv2.接着新建文件,编写相应程序。编写好的各个程序进行编译与连接。但若是在该过程中,看见我们编好的程序有错误,那么就根据他相应的提示来修改错误,直到该程序能够正确编译为止。

能够正常编译的程序说明没有什么问题了,此时我们在点击相关栏目,让它生成我们在硬件仿真时所需要的.HEX文件。到此步,我们的软件调试就完成了。

数字钟得功能虽然比较较少,但是程序也较为复杂,特别对于初学者的我来说更是如此,所以在编写陈旭和调试时出现了相对较多的问题。最后经过多次的模块子程序的修改,一步一步的完成,最终解决了软件。在软件的调试过程中主要遇到的问题如下:烧入程序后,数码管能显示,也能走钟,但时间明显跳动很快,时间不正确

解决:通过检查延时子程序以及循环累计秒、分、时子程序,发现将50ms延时子程序循环20次得到的秒钟数错误当作了分钟数计时,从而使时间出现错误。将程序进行修改,增加R0作为50ms的20次循环计数器得到秒,从而把R2的计数修改为60次(即得到了分钟数),从而解决了该问题。

5.3硬件调试

该数字钟得电路系统较大,对于焊接方面更是不可轻视,庞大的电路系统中只要出于一处的错误,则会对检测造成大的不便,而且电路的交线交多,对于各种锋利的引脚要注意处理,否则会刺破带有封皮的导线,使电路造成短路现象,另外,买来的元器件要先进行检测,如果有坏的器件要进行更换,还有就是要注意元器件的正确放置与安装以及布线的合理,便于成品电路的检测与维护。

在本数字钟的设计调试中遇到了很多的问题,回想这些问题,其实只要认真思考很多功能都是可以避免的额,一下为主要的问题:

基于FPGA的数字时钟设计毕业设计论文

摘要 本设计为一个多功能的数字时钟,具有时、分、秒计数显示功能,以24小时循环计数;具有校对功能。本设计采用EDA技术,以硬件描述语言Verilog HDL为系统逻辑描述语言设计文件,在QUARTUSII工具软件环境下,采用自顶向下的设计方法,由各个基本模块共同构建了一个基于FPGA的数字钟。 系统由时钟模块、控制模块、计时模块、数据译码模块、显示以及组成。经编译和仿真所设计的程序,在可编程逻辑器件上下载验证,本系统能够完成时、分、秒的分别显示,按键进行校准,整点报时,闹钟功能。 关键词:数字时钟,硬件描述语言,Verilog HDL,FPGA

Abstract The design for a multi-functional digital clock, with hours, minutes and seconds count display to a 24-hour cycle count; have proof functions function. The use of EDA design technology, hardware-description language VHDL description logic means for the system design documents, in QUAETUSII tools environment, a top-down design, by the various modules together build a FPGA-based digital clock. The main system make up of the clock module, control module, time module, data decoding module, display and broadcast module. After compiling the design and simulation procedures, the programmable logic device to download verification, the system can complete the hours, minutes and seconds respectively, using keys to cleared , to calibrating time. And on time alarm and clock for digital clock. Keywords:digital clock,hardware description language,Verilog HDL,FPGA

基于FPGA数字秒表设计报告

标准实验报告实验项目:基于FPGA数字秒表设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

数字秒表课程设计报告

数字秒表的设计与制作 一.设计要求 设计并制作一个数显电子秒表,要求: 1)能直接显示“分”“秒”“毫秒”的电子秒表; 2) 要求最大能显示9”59’999; 3)能通过按键启动计时,并能通过按键停止计时,并保留显示计时时间; 4)能通过按键复位。 二.题目分析: 数字秒表是一种常用的秒计时装置,它能实现手控记秒、停摆、清零功能,它的设计原理就是利用数字逻辑中的知识。 通过对该数字秒表的设计要求的分析,设计的此数字秒表主要由信号发生器、分频器、译码器、十进制计数器、六十进制计数器、一千进制计数器、控制电路组成。在整体秒表 中最关键的如何获得一个精确的1000HZ计时脉冲。除此之外,数字秒表还需要有清零控制端以及启动控制端,以便数字时钟能随意启动计时、停止计时以及暂停计时。分频器用来 产生1000HZ计时脉冲;十进制计数器是用来对分进行计数;六十进制计数器是用来对秒进行计时,一千进制计数器是用来对毫秒进行计时;译码器是完成对7段数码管显示的控制。 按计数要求,须用数码管来做显示器,题目要求最大能显示9ˊ59〞999,需要六个数 码管,超过最大显示的数字要重新从0开始计数。 复位开关用来使计时器清零,并做好清零准备,复位开关可以在任意情况下使用,即使在计数过程中,只要按一下复位开关,计时进程终止,并对计时器清零。 三.总体方案: 因为数字秒表,所以必须有一个数字显示。按设计要求,须用七段数码管来做显示器。题目要求最大记数值为9”59’999,那则需要六个数码管。 要求计数分辨率为0.001秒,那么我们需要相应频率的信号发生器。选择信号发生器时,有两种方案:一种是用晶体震荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。由于晶体振荡器产生的脉冲更加的稳定,所以我们选择用晶体振荡器产生脉冲。 CP脉冲是由晶体振荡器构成的多谐振荡器,产生1000HZ脉冲。 秒计数60进制,分计数10进制,毫秒计数1000进制,输出为6片与CD4511芯片匹配的6片共阴极数码管,最大计时时长为9ˊ59〞,超过最大显示的数字要重新从0开始计数。

数字电子秒表课程设计

西安航空职业技术学院 电子技术实践课程设计报告 课设题目:数字电子秒表 所属系部:电子工程系 指导老师: 作者: 专业:电子信息工程技术 西安航空职业技术学院制 西安航空职业技术学院 课程设计任务书 题目:数字电子秒表 任务与要求: 1、设计数字电子秒表原理图。 2、用6个数码管显示分、秒、毫秒。 3、计时误差不得超过1s;具有清零、启动计时、暂停计时及继续 计时等控制功能。 4、画出总体电路图。 5、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。 焊接完毕后,应对照电路图仔细检查,看是否有错接、漏接、 虚焊的现象。 6、调试电路。 时间:2010年11月29 日至 2010年12 月10 日共2周

所属系部:电子工程系 指导单位或教研室:电子信息教研室 西安航空职业技术学院制 摘要: 采用现代数字电路设计方法和EDA技术,即自顶向下的设计方法,应用protues开发平台进行设计并仿真验证和硬件测试。从总体设计框图开始,将设计任务逐步分解,直到可以用标准的集成电路部件实现,然后将各部件联结成系统,通过protues集成开发平台进行设计的分析综合和时序仿真验证。最后,在分析时序仿真结果的基础上,对设计进行进一步的修改和完善,已达到对设计电路正确运行且学会运用protues电路设计与仿真的目的。 关键词: 555定时器;LED;暂停计时 Abstract: Adopt modern digital circuit design method and EDA technique, namely the top-down design methods, application protues development platform design and simulation validation and hardware test. From the beginning, overall design diagram design task decomposed step by step, until can use standard of integrated circuit components, and then will realize connecting components into system, through protues integrated development platform design of comprehensive analysis and time-series simulation prove. Finally, by analyzing the timing simulation results, on the basis of design for further revised and perfected, reached the correct operation of circuit design and learn to use protues circuit design and simulation of purpose. Key words: 555 timing, Leds, Suspended timing 目录 1 设计方案的选择 (1) 2 总体框架设计 (2) 3 分步电路设计 (3) 3.1控制电路的设计 (3) (3) (3) 3.2数码管显示电路 (4)

毕业设计论文_单片机电子时钟的设计

单片机电子时钟的设计 摘要 单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快。单片机体积小、重量轻、抗干扰能力强、环境要求不高、价格低廉、可靠性高、灵活性好、开发较为容易。由于具有上述优点,在我国,单片机已广泛地应用在工业自动化控制、自动检测、智能仪器仪表、家用电器、电力电子、机电一体化设备等各个方面,而51单片机是各单片机中最为典型和最有代表性的一种。这次毕业设计通过对它的学习、应用,以AT89S51芯片为核心,辅以必要的电路,设计了一个简易的电子时钟,它由4.5V直流电源供电,通过数码管能够准确显示时间,调整时间,从而到达学习、设计、开发软、硬件的能力。 关键词:单片机 AT89S51 电子时钟数码管

Design of the singlechip electronics clock Abstract Single slice machine from published in 70's for 20 centuries, is compare with its very high function price, is value by people and pay attention to, apply very widely, develop very quickly. Single slice the machine physical volume is small,the weight is light,the anti- interference ability is strong,the environment haven't high request,the price is cheap,the credibility is high,vivid good,develop more easy. In order to having an above-mentioned advantage, at the our country, single slice the machine is broadly applied already to turn an equipment at industrial automation control,automatic examination,intelligence instrument appearance,home appliances,electric power electronics,the machine electricity integral whole etc. each aspect, but 51 machines is is a typical model most and have a representative most in each machine of a kind. This graduation design passes to its study and application, Take the AT89S51 chips as core, assist with the electric circuit of the necessity, design a simple electronics clock, it from the 4.5 V direct current power supply power supply, pass the figures tube can accurate manifestation time, adjust time。Arrive a study and design, develop thus soft,the ability of the hardware . Keywords:MCU AT89S51electronics clock digital tube

电子秒表的设计与制作

电子秒表的设计与制作 毕 业 论 文 院校:周口师范学院 系别:物理与电子工程系 班级:0 8 专升本 专业:电子信息工程专业 学号:2 0 0 8 0 5 0 8 0 0 2 2 姓名:王克奎 指导老师:吴定允

目录摘要 关键词 1 概述 1.1设计的背景 1.2 现实的意义 1.3 功能简介 2 硬件设计 2.1 总体方案的设计 2.2 单片机的选择与设计 2.3 显示电路 2.4 按键电路 2.5 时钟电路 2.6 复位电路位 2.7 系统总电路的设计 3 软件设计 3.1 程序设计思想 3.2 系统资源的分配 3.3 主程序设计 3.4 中断程序设计 4 安装与调试 4.1 软件的仿真与调试 4.2 硬件的安装与调试 4.3 系统程序的烧录 总结与展望 致谢 参考文献

摘要:本设计的数字电子秒表系统采用AT89C52单片机为核心器件,利用其定时器/ 计数器定时和计数的原理,结合显示电路,LED数码管以及外部中断电路来设计计数器。将软、硬件有机地结合起来,使得系统能够实现五位LED显示,显示时间为0~99.999秒,计时精度为0.001秒能正确地进行计时,同时能记录一次时间,并在下一次计时后对上一次计时时间进行查询。其中软件系统采用汇编语言编写程序,包括显示程序,定时中断服务程序,外部中断服务程序,延时程序等,并在W A VE中调试运行,硬件系统利用PROTEUS 强大的功能来实现,简单且易于观察,在仿真中就可以观察到实际的工作状态。 关键词:单片机;中断;定时 Abstract:The design of digital electronic stopwatch system is AT89C52 microcontroller as the core device, use the timer / counter timing and counting principles, combined with display circuit, LED digital tube and the external interrupt circuit to design the counter. The software and hardware together organically, allows the system to achieve the five LED display shows the time from 0 to 99.999 seconds, 0.001 seconds precision timing can be correctly timed, while able to record a time and after time on the next time to query a time. Software system which uses assembly language programming, including the display program, timing interrupt service routine, the external interrupt service routines, delay procedures, and WAVE in the debugging and running, the hardware system used to achieve PROTEUS powerful, simple and easy to observe, In the simulation can be observed on the actual working condition. Key words: SCM; break; time 引言:随着科技的飞速发展,电子技术如雨后春笋般地生长变化着,特别是单片机的应用更是无处不在。本文正是基于单片机来设计一个数字电子秒表的文章。同时秒表计时器是电器制造,工业自动化控制,国防,实验室及科研单位理想的计时仪器,它广泛应用于各种继电器,电磁开关、控制器、延时器、定时器等的时间测试。 在刚过去的加拿大的温哥华的冬奥运会上,中国女将王濛在短道速滑500米用了43秒048的成绩夺得了桂冠,而加拿大的选手玛丽安妮的以43秒707的成绩夺得了亚军,只与王濛相差了0.659秒,这种细微的差距,怎样才能计算出来呢?只能用更精确的电子秒表才能区分出来。我就基于这种情况设计了电子秒表。 1概述 1.1设计背景 现在市场上的电子秒表有的利用FPGA设计的秒表,还有用逻辑电路设计的,而我采用的是单片机设计的电子秒表。单片机设计的秒表抗干扰性强,计时精度高。 由于上述原因我设计了基于单片机的电子秒表。 1.2现实意义 随着科技的飞速发展,电子技术如雨后春笋般地生长变化着,特别是单片机的应用更是无处不在。本文正是基于单片机来设计一个数字电子秒表的文章。本设计秒表精度

基于单片机的数字秒表设计定稿毕业论文

(此文档为word格式,下载后您可任意编辑修改!) 河南农业大学 《智能仪器设计实习》 秒表设计 题目_______________________ 姓名:______________________________ 指导教师:__________________________ 成绩:_____________________________________ 时间:2014年12月5日 摘要21世纪,单片机的发展非常的迅速。单片机是把主要计算机功能部件都集成 在一块芯片上的微型计算机。它是一种集计数和多种接口于一体的微控制器,被广泛应

用在智能产品和工业自动化上,而51单片机是个单片机中最为典型和最有代表性的一种。本设计的数字电子秒表系统采用STC89C5洋片机为中心器件,利用 其定时器计数器定时和记数的原理,结合显示电路、LED数码管以及独立键盘来设 计秒表,将软、硬件有机地结合起来。 本设计的软件系统是用C语言编写的.包括一个定时器中断,4个独立键盘及软件消抖等。最后做成一个具有打开、关闭、复位、记忆功能的数字秒表。 目录 1引言 (1) 1.1单片机的背景及意义 (1) 2系统分析 (4) 2.1单片机的基本结构 (4) 2.2单片机的选择 (9) 3.秒表的硬件设计 (12) 3.1LED显示电路 (12) 3.2键盘控制电路 (15) 3.3译码器的使用 (16) 3.4单片机晶振和复位电路 (17) 4秒表的软件设计 (19) 4.1C程序整体设计思路 (19) 4.2主程序设计 (20) 4.3中断设计 (21) 5软件调试和结果 (26) 5.1软件调试与烧写 (26) 5.2 硬件仿真 (27)

课程设计 多功能秒表报告

武夷学院 课程设计(论文)基于单片机的多功能秒表设计 院系:电子工程系 专业(班级):09电信(一)班 姓名:鞠建龙 学号: 20094081009 指导教师:邵海龙 职称:助教 完成日期: 2011 年 12 月 1 日 武夷学院教务处制

摘要 近年来随着科技的飞速发展,单片机的应用正在不断的走向深入。本文阐述了基于单片机的多功能电子秒表设计。本设计主要特点是具有倒计时功能,还可以按圈计时,而且误差在0.01,,是各种体育竞赛的必备设备之一,另外硬件部分设置了查看按键。 本设计的数字电子秒表系统采用AT89C52单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合显示电路、数码管以及外部中断电路来设计计时器。将软、硬件有机地结合起来,使得系统能够实现8位LED显示,显示时间24小时内,计时精度为0.01秒,能正确地进行计时,同时能记录一次时间,并在下一次计时后对上一次计时时间进行查询。其中软件系统采用C语言编写程序,包括显示程序,定时中断服务延时程序等,并在KEIL中调试运行,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 关键字:单片机;数字电子秒表;仿真

Abstract With the rapid development of science and technology in recent years, SCM applications are constant-depth manner. In this paper, based on single chip design of digital electronic stopwatch. The main characteristics of this design timing accuracy of 0.01s, to solve the traditional result of a lack accuracy due to timing errors and unfair, and is a variety of sports competitions, one of the essential equipment. In addition the hardware part of the set View button on the stopwatch can be the last time to save time for user queries. The design of the multi-function stopwatch system uses STC89C52 microcontroller as the central device, and use its timer / counter timing and the count principles, combined with display circuit, LED digital tube, as well as the external interrupt circuit to design a timer. The software and hardware together organically, allowing the system to achieve two LED display shows the time within 24 hours, Timing accuracy of 0.01 seconds, Be able to correctly time at the same time to record a time, and the next time after the last time the time to search.automatically added a second in which software systems using assembly language programming, including the display program, timing, interrupt service, external interrupt service routine, delay procedures, key consumer shaking procedures, and WAVE in the commissioning, operation, hardware system uses to achieve PROTEUS powerful, simple and easy to observe the cut in the simulation can be observed on the actual working condition. Keyword:LED display;High-precision stopwatch;STC89C52

数字电子秒表课程设计报告

重庆机电职业技术学院课程设计说明书 设计名称:单片机原理设计 题目:数字电子秒表 学生姓名: X X 专业:电气自动化 班级: 1 班 学号: XXXXXXXXXXXXXXX 指导教师: X X X 日期: 2010 年 6 月 16 日

重庆机电职业技术学院 课程设计任务书 电气自动化专业2008 年级 1 班XX 一、设计题目 数字电子秒表设计 二、主要内容 利用独立式按键AN1(P0.0)启动定时器T0计时,AN2(P0.1)停止用于停止定 时器T0计时,使用2个八段数码管输出记时值,秒钟的计时时间范围在0~99秒内。 三、具体要求 3.1、实验电路连线 ①本实验中要把跳线JP1(板子右上角,LED灯正上方)跳到DIG上,J23(在黄色继电器右上方)接到右端;把跳线J9(紧贴51插座右方,蜂鸣器下方,RST复位键上方)跳到右端;把跳线J6跳到AN端,AN1(P0.0)~ AN4(P0.4),(J6在51插座右下方,4×4键盘左上方)。 3.2、实验说明 ①本实验中要将记时结果送2个数码管中显示,这可通过调用编写的显示子程序来实现,实现过程是:先将记时值一位一位的拆开,分别送到显示缓冲区(片内数据存储30H~35H设定为显示缓冲区用于存放段码数据, 其中32H~35H里面均存放0的段码0DFH)中去,然后调用显示子程序。②与定时器有关的寄存器有工作方式寄存器TMOD和控制寄存器TCON。TMOD用于设置定时器/计数器的工作方式0-3,并确定用于定时还是用于计数。TCON主要功能是为定时器在溢出时设定标志位,并控制定时器的运行或停止等。本实验中用定时器T0产生1秒钟基本时间单位,本系统fosc=11.0592MHz,当定时器T0工作在方式1(16位)时,最大定时时间为:216* 0.9216μs= 60397.9776μs;再利用软件记数,当T0中断17次时,所用时间为60397.9776*17=1026765.6192μs≈1s因此在T0中断处理程序中,要判断中断次数是否到17次,若不到17次,则只使中断次数加1,然后返回,若到了17次,则使电 子秒表记时值加1(十进制),请参考硬件实验四有关内容。③使用独立式按键 AN1(P0.0)~ AN2(P0.1)时要注意采用软件消抖动的方法,一般采用软件延时(10ms)的方法,即通过P0.0和P0.1的输入值的变化控制秒表的启动和停止。 3.3

毕业设计论文-数字钟设计

数字钟设计 院系电子信息工程学院专业电子信息工程班级 1 姓名马梦珂

摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,已得到广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 数字钟就是由电子电路构成的计时器,是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应该该有校时功能和报时,整体清零等附加功能。主电路系统由秒信号发生器,时、分、秒计时器,译码器及显示器,校时电路,整体清零电路,整点报时电路组成。秒信号发生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。秒信号产生器将标准信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,发出一个“时脉冲”信号,该信号将被送到“时计数器”,“时计数器”采用24进制计时器,可实现一天24小时的累计, 本课题利用了单片机的数码管和定时器相关知识,采用AT89C51单片机子控制核心,结合LED数码管实现时分秒的显示。硬件电路设计主要包括中央处理单元电路,键盘扫描电路。软件程序则采用VC语言实现。本设计实现了显示时间、调整时间等功能,达到了设计的目的和要求。并在Proteus软件上进行了仿真和调试。 关键词:计时器,计数,译码,校时,数字时钟,单片机,仿真调试

目录 摘要 ............................................................................................................... I 目录 ............................................................................................................ III 1 引言 ............................................................................ 错误!未定义书签。 1.1 研究背景及意义 (1) 1.2 国内外研究现状 (1) 2 硬件设计 (1) 2.1 元件组成 (1) 2.2 电路组成...................................................................... 错误!未定义书签。 3 软件设计 (4) 3.1 电路原理图设计 (6) 3.2 源程序 (7) 4 系统调试与实验 (11) 5 总结 (12) 参考文献 (12)

数字电子钟设计毕业论文

数字电子钟设计毕业论文 目录 论文摘要 (1) 关键词:数字电路集成电路逻辑电路 (1) Abstract (2) 目录 (3) 第1章数字电子钟设计总体方案 (5) 1.1.1数字计时器的设计思想 (5) 1.1.2数字电子钟组成框图 (6) 1.1.3 单元电路设计 (6) 第2章数字逻辑电路概述 (9) 2.1 数字电路的特点 (9) 2.2 数制 (10) 2.2.1十进制 (10) 2.2.2 二进制 (10) 2.2.3 十六进制 (11) 2.2.4 不同进制数的表示符号 (12) 2.3 不同进制数之间的转换 (12) 2.3.1 二、十六进制数转换成十进制数 (12) 2.3.2 二进制与十六进制数之间的转换 (12) 2.3.3 十进制数转换成二、十六进制数 (13) 2.4 二进制代码 (15) 2.4.1 自然二进制代码 (15) 2.4.2 二–十进制代码(BCD码) (15) 2.5基本逻辑运算 (16) 2.5.1 与逻辑运算 (16) 2.5.2 或逻辑运算 (17) 2.5.3 非逻辑运算 (18) 第3章逻辑门电路 (19) 3.1 基本逻辑门电路 (19) 3.1.1 与门电路 (19) 3.1.2 或门电路 (20) 3.1.3 非门电路 (21) 3.1.4 复合逻辑门 (22) 第4章组合逻辑电路 (24) 4.1 组合逻辑电路的分析与设计 (24) 4.1.1 组合逻辑电路的分析 (24) 4.1.2 组合逻辑电路的设计 (26) 4.2 编码器 (29)

4.2.1 编码器的工作原理 (29) 4.3译码器和数字显示电路 (32) 4.3.1 二进制译码器 (32) 4.3.2 显示译码器 (34) 第5章触发器 (37) 5.1 RS触发器 (37) 5.1.1 基本RS触发器 (37) 5.1.2 同步RS触发器 (39) 5.2 JK、D、T触发器 (40) 5.2.1 JK触发器 (40) 5.2.2 D触发器 (42) 5.2.3 T触发器 (43) 第6章时序逻辑电路 (44) 6.1 时序逻辑电路的基本概念 (44) 6.1.1 时序逻辑电路的基本结构及特点 (44) 6.1.2 时序逻辑电路的分类 (45) 6.2 时序逻辑电路的分析 (45) 6.2.1 分析时序逻辑电路的步骤 (45) 6.2.2 同步时序逻辑电路的分析及应用 (45) 6.2.3 异步时序逻辑电路的分析及应用 (48) 6.3 同步时序电路的设计 (50) 6.3.1 同步时序逻辑电路设计的步骤 (51) 6.3.2 同步时序逻辑电路设计的应用 (52) 6.4计数器 (56) 6.4.1 二进制计数器 (56) 6.4.2 同步十进制加法计数器 (58) 6.5 脉冲信号的产生 (60) 6.5.1 由与非门组成的多谐振荡器 (60) 6.5.2 石英晶体时钟脉冲发生器 (61) 结论 (63) 谢辞 (64) 参考文献 (65)

基于单片机的电子钟设计毕业论文。。

基于单片机的电子时钟设计 摘要 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 现代生活的人们越来越重视起了时间观念,可以说是时间和金钱划上了等号。对于那些对时间把握非常严格和准确的人或事来说,时间的不准确会带来非常大的麻烦,所以以数码管为显示器的时钟比指针式的时钟表现出了很大的优势。数码管显示的时间简单明了而且读数快、时间准确显示到秒。而机械式的依赖于晶体震荡器,可能会导致误差。 数字钟是采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。数字钟的精度、稳定度远远超过老式机械钟。在这次设计中,我们采用LED数码管显示时、分、秒,以24 小时计时方式,根据数码管动态显示原理来进行显示,用12MHz的晶振产生振荡脉冲,定 时器计数。在此次设计中,电路具有显示时间的其本功能,还可以实现对时间的调整。数字钟是其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱,因此得到了广泛的使用。 关键字:数字电子钟单片机 数字电子钟的背景 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。 目前,单片机正朝着高性能和多品种方向发展趋势将是进一步向着CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路内装化等几个方面发展。下面是单片机的主要发展趋势。单片机应用的重要意义还在于,它从根本上改变了传统的控制系统设计思想和设计方法。从前必须由模拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法

基于单片机的数字秒表设计说明

2011届学士学位论文 基于单片机的数字秒表设计 学院、专业物理与电子信息学院 电子信息工程 研究方向单板微型计算机 学生姓名 学号 指导教师 指导教师职称 2011年4月29日

基于单片机的数字秒表设计 摘要21世纪,单片机的发展非常的迅速。单片机是把主要计算机功能部件都集成在一块芯片上的微型计算机。它是一种集计数和多种接口于一体的微控制器,被广泛应用在智能产品和工业自动化上,而51单片机是个单片机中最为典型和最有代表性的一种。本设计的数字电子秒表系统采用STC89C52单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合显示电路、LED数码管以及独立键盘来设计秒表,将软、硬件有机地结合起来。 本设计的软件系统是用C语言编写的.包括一个定时器中断,4个独立键盘及软件消抖等。最后做成一个具有打开、关闭、复位、记忆功能的数字秒表。 关键字单片机;数字电子秒表;仿真,STC89C52

Digital stopwatch design based on Single-Chip Microcomputer School of Physics and Electronic Information Huaibei Normal University 235000 Abstract In the 21st century,the technology of SCM develops very quickly. SCM which is a major piece of computer components is integrated into the chip micro-computer. It is a multi-interface and counting on the micro-controller integration, and intelligence products are widely used in industrial automation, and MCS-51 microcontroller is a typical and representative.This design of digital electric stopwatch system employs STC89C52 single-chip microcomputer as the core part. Based on the principle of timing and counting, the stopwatch is designed by integrating display electric circuit, LED digital pipe and independent keyboard, combining both software and hardware. The software system of this design is written in C. The design includes a timer interrupt and four independent keyboards,software away shaking and so on. Finally it makes a digital stopwatch with functions of opening, closing , resting and memory. . Keyword Single-chip microcomputer;Digital stopwatch;Simulation;STC89C52

数电课设 数字秒表的设计仿真

##大学 ##学院 数字电子技术课程设计 课程名称:数字电子技术基础 题目名称:数字电子秒表设计 学生系别:信息工程系 专业班级: 学号: 学生姓名: 指导教师: ..年..月..日

目录 一、设计要求 (3) 二、题目分析 (3) 三、总体方案 (3) 四、具体实现 (4) 1、总体方框图 (4) 2、原理图 (4) 如下图所示: (4) 五、各部分定性说明及定量计算 (5) 1、脉冲发生器(由555构成的多谐振荡器) (5) 2、计数器(74LS90) (7) 3、七段发光二极管(LED)数码管 (8) 4、BCD码七段译码驱动器——CC4511 (9) 六、实验仿真 (11) 七、元器件清单 (11) 八、设计心得体会 (12) 九、参考文献 (12)

数字秒表的设计与仿真 一、设计要求 设计并仿真一个数显电子秒表,要求: (1)能直接显示“分”、“秒”的电子秒表; (2)要求最大能显示9ˊ59〞; (3)能通过按键启动计时,并能通过按键停止计时,并保留显示计时时间; (4)能通过按键复位。 主要器件: 74LS00、555、74LS90、CC4511 二、题目分析 数字秒表是是一种常用的秒计时装置,它能实现手控记秒、停摆、清零功能,它的设计原理就是利用数字逻辑中的知识。 通过对该数字秒表的设计要求的分析,设计的此数字秒表主要由分频器、译码器、十进制计数器、六十进制计数器、控制电路组成。在整体秒表中最关键的如何获得一个精确的100HZ计时脉冲。除此之外,数字秒表还需要有清零控制端以及启动控制端,保持,以便数字时钟能随意、停止及启动。分频器用来产生100HZ计时脉冲;十进制计数器:对分进行计数;六十进制计数器是用来对秒进行计时,显示译码器是完成对7段数码管显示的控制。 按计数要求,须用数码管来做显示器,题目要求最大能显示9ˊ59〞,需要三个数码管,超过最大显示的数字要重新从0开始计数。 复位开关用来使计时器清零,并做好清零准备,复位开关可以在任意情况下使用,即使在计数过程中,只要按一下复位开关,计时进程终止,并对计时器清零。 三、总体方案 数字秒表,必须有一个数字显示。按设计要求,须用数码管来做显示器,题目要求最大能显示9ˊ59〞,则需要三个数码管。计数分辨率为1s,需要相应的信号发生器,选择信号发生器有两种方案: Ⅰ用晶体振荡器; Ⅱ用集成电路555计时器与电阻电容组成的多谐振荡器。 两者都可以产生振荡频率,我们选用方案Ⅱ,因为其核心部分是使用三个74LS90计数器采用串联方式构成,并且这种连接方式简单,使用元器件数量少。

毕业课程设计报告数字秒表的设计

(此文档为word格式,下载后您可任意编辑修改!) 目录 1 引言 (1) 1.1 课程设计的目的 (1) 1.2 课程设计的内容 (1) 2 EDA、VHDL简介 (1) 2.1 EDA技术 (1) 2.2 硬件描述语言——VHDL (2) 3设计过程 (4) 3.1 设计规划 (4) 3.2 各模块的原理及其程序 (4) 3.2.1控制模块 (5) 3.2.2时基分频模块 (5) 3.2.3计时模块 (6) 3.2.4显示模块 (7) 4系统仿真 (9) 结束语 (13) 致谢 (14) 参考文献 (15) 附录 (16)

1 引言 在科技高度发展的今天,集成电路和计算机应用得到了高速发展。尤其是计算机应用的发展。它在人们日常生活已逐渐崭露头角。大多数电子产品多是由计算机电路组成,如:手机、mp3等。而且将来的不久他们的身影将会更频繁的出现在我们身边。各种家用电器多会实现微电脑技术。电脑各部分在工作时多是一时间为基准的。本文就是基于计算机电路的时钟脉冲信号、状态控制等原理设计出的数字秒表。秒表在很多领域充当一个重要的角色。在各种比赛中对秒表的精确度要求很高,尤其是一些科学实验。他们对时间精确度达到了几纳秒级别。 1.1 课程设计的目的 本次设计的目的就是在掌握EDA实验开发系统的初步使用基础上,了解EDA技术,对计算机系统中时钟控制系统进一步了解,掌握状态机工作原理,同时了解计算机时钟脉冲是怎么产生和工作的。在掌握所学的计算机组成与结构课程理论知识时。通过对数字秒表的设计,进行理论与实际的结合,提高与计算机有关设计能力,提高分析、解决计算机技术实际问题的能力。通过课程设计深入理解计算机结构与控制实现的技术,达到课程设计的目标。 1.2 课程设计的内容 利用VHDL语言设计基于计算机电路中时钟脉冲原理的数字秒表。该数字秒表能对0秒~59分59.99秒范围进行计时,显示最长时间是59分59秒。计时精度达到10ms。设计了复位开关和启停开关。复位开关可以在任何情况下使用,使用以后计时器清零,并做好下一次计时的准备。 2 EDA、VHDL简介 2.1 EDA技术 EDA是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术的最新成果而开发出的电子CAD通用软件包,它根据硬件描述语言HDL完成的设计文件,自动完成逻辑编译、化简、分割、综合、优化、布局布线及仿真,直至完成对于特定目

相关文档
最新文档