北航_自控实验报告材料_非线性环节对系统动态过程地响应

北航_自控实验报告材料_非线性环节对系统动态过程地响应
北航_自控实验报告材料_非线性环节对系统动态过程地响应

实用文档

自动控制原理实验报告

实验七非线性环节对系统动态过程的响应

2012/5/23

实验七非线性环节对系统动态过程的响应

一、实验目的:

(1)了解非线性环节特性;

(2)了解非线性环节对系统动态过程的响应;

(3)学会应用描述函数法研究非线性系统的稳定性。

二、实验原理:

(1)非线性系统和线性系统存在本质差别:

A)线性系统可采用传递函数、频率特性、脉冲过渡函数等概念,同时由于线性系统的运动形式和输入幅值、初始状态无关,通常是在典型输入函数和零初始条件下进行研究。

B)非线性系统由于叠加原理不成立,线性系统的上述方法不适用,所以常采用相平面方法和描述函数方法进行研究。

(2)实验从两方面观察非线性:相轨迹和动态响应

A)相轨迹:相平面上的点随时间变化描绘出来的曲线叫相轨迹。相平面的相坐标为和,实验软件当中给出的就是在此坐标下自动描绘的相轨迹。

初始条件不同,系统的运动趋势不同,所描绘的相轨迹也会有所不同。

B)动态响应:对比有无非线性环节时系统动态响应过程。

三、实验结果:

由计算机产生非线性环节,结果如下:

(1)摩擦特性:M=1

Figure 1 摩擦特性相轨迹

*利用采集到的数据作图获得。Figure 2 摩擦特性动态响应

(2)饱和特性:K=1,S=0.5;

Figure 4 饱和特性S=0.5动态响应

(3)饱和特性:K=1,S=2;

Figure 6 饱和特性S=2动态响应

(4)继电特性:M=1,h=0.5;

Figure 7 继电特性相轨迹

Figure 8 继电特性动态响应

四、数据处理及分析:

(1) 负倒相对描述函数及()G jw 曲线图:

系统线性部分传递函数为:10

()(1)

G s s s =+,对于不同的非线性环节,其非线性特性描述函

数各不相同,结果如下:

摩擦特性,非线性描述函数为:4()M

N s X

π=

将其负倒相对描述函数及()G jw 曲线画于一幅图中,结果如下图所示:

由图可见,负倒相对描述函数没有被()G jw 曲线包围,系统是稳定的,随着t 增长,系统将逐步趋于稳态值。

*实测图线中最后阶段出现的正弦振荡曲线主要由于电路中电容等器件充放电特性带来,若器件参数理想 ,则系统终将趋于稳定。

Figure 9 摩擦特性曲线

饱和特性,非线性描述函数为:2()arcsin K S N s X π?=+

??,X S ≥ 对0.5S =,将其负倒相对描述函数及()G jw 曲线画于一幅图中,结果如下图所示: 由图可见,负倒相对描述函数没有被()G jw 曲线包围,系统是稳定的,随着t 增长,系统

将逐步趋于稳态值。

Figure 10 饱和S=0.5特性曲线

饱和特性,非线性描述函数为:2()arcsin K S N s X π?=

+??,X S ≥ 对2S =,将其负倒相对描述函数及()G jw 曲线画于一幅图中,结果如下图所示: 由图可见,负倒相对描述函数没有被()G jw 曲线包围,系统是稳定的,随着t 增长,系统将逐步趋于稳态值。

Figure 11 饱和特性S=2特性曲线

继电特性,非线性描述函数为:2

4()Mh

N s j X

π=

,X h ≥ 将其负倒相对描述函数及()G jw 曲线画于一幅图中,结果如下图所示:

*由于取值间隔问题,实际水平直线(负倒相相对描述函数)可以在曲线右端获得更多的点,即两条线有一个交点A 。对X 从右往左为其增大方向。

Figure 12 继电特性

Figure 13 继电特性局部放大图

该系统不存在不稳定极点,且两曲线只有一个交点A ,对A 分析:

若非线性系统输出幅度稍有增大,相当于工作点移到交点左边,设为B 点,此时0()K G jw 未包围这一点,因此输入幅值会进一步减小,回到A 点;

若非线性系统输出幅度有所减小,相当于工作点移到交点右边,设为C 点,此时0()K G jw 包围了这一点,因此输入幅值会增大,同样回到A 点;

由于两条曲线只有一个交点,无论系统扰动多小,系统最终都将呈现自激振荡状态。对比继电特性动态响应图,实际结果符合理论判断 估算交点情况:

由图中计算可以得到:利用matlab 数值计算直接找到对应点X 值,交点对应

210..050.5 1.55X =?+=,纵坐标位置为4π-;利用()G jw 计算对应自振频率:2.771/w rad s =; 2.267T s =,与实测自振周期及幅度对比,可以看到基本相同;

对应开环增益|()| 2.038A G jw ==,当 2.771/w rad s =;

附录:利用matlab做出曲线的源程序:

继电特性:

w=[0:0.05:10];

re=20./(-1-w.^2);

im=-20./(w+w.^3);

x=[0.5:0.05:20];

ss3=-pi*sqrt((x./0.5).^2-1)./4;

ss4=-pi/4;

plot(re,im,ss3,ss4);

饱和特性:

w=[0:0.05:20];

re=10./(-1-w.^2);

im=-10./(w+w.^3);

ss3=-pi./(2*(asin(0.5./w)+0.5*sqrt(1-(0.5./w).^2)./w)); ss4=0;

plot(re,im,ss3,ss4);

摩擦特性:

w=[0:0.05:20];

re=10./(-1-w.^2);

im=-10./(w+w.^3);

x=[0:0.05:20];

ss1=-pi.*x./4;

ss2=0;

plot(re,im,ss1,ss2);

自动控制原理实验报告

第一章Matlab 基本运算 [范例1-2] 建立矩阵A={7 8 9},B={7 8 9} >> A=[7,8,9] A = 7 8 9 >> B=A' B = 7 8 9 (2) >> B=[1 1 2 ; 3 5 8 ; 10 12 15] B= 1 1 2 3 5 8 10 12 15 (3) >> a=1:1:10 a = 1 2 3 4 5 6 7 8 9 10 >> t=10:-1:1

t = 10 9 8 7 6 5 4 3 2 1 [范例1-3]求多项式D(S)=(5S^2+3)(S+1)(S-1)的展开式 >> D=conv([5 0 3],conv([1 1],[1 -2])) D = 5 -5 -7 -3 -6 [范例1-4]求多项式P(X)=2X^4-5X^3-X+9 (1) >> P=[2 -5 6 -1 9] P = 2 -5 6 -1 9 >> x=roots(P) x = 1.6024 + 1.2709i 1.6024 - 1.2709i -0.3524 + 0.9755i -0.3524 - 0.9755i 第二章控制系统的数学模型 [范例2-1]已知系统传递函数G(S)= s + 3/ s^3 + 2 s^2 + 2 s + 1 >> num=[0 1 3]; >> den=[1 2 2 1]; >> printsys(num,den) num/den = s + 3 --------------------- s^3 + 2 s^2 + 2 s + 1 [范例2-2]已知系统传递函数G(S)=【5*(S+2)^2(S^2+6S+7)】/S(S+1)^3(S^3+2S+1)],试

北航电子电路设计数字部分实验报告

电子电路设计数字部分实验报告 学院: 姓名:

实验一简单组合逻辑设计 实验内容 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验仿真结果 实验代码 主程序 module compare(equal,a,b); input[7:0] a,b; output equal; assign equal=(a>b)1:0; endmodule 测试程序

module t; reg[7:0] a,b; reg clock,k; wire equal; initial begin a=0; b=0; clock=0; k=0; end always #50 clock = ~clock; always @ (posedge clock) begin a[0]={$random}%2; a[1]={$random}%2; a[2]={$random}%2; a[3]={$random}%2; a[4]={$random}%2; a[5]={$random}%2; a[6]={$random}%2; a[7]={$random}%2; b[0]={$random}%2; b[1]={$random}%2; b[2]={$random}%2; b[3]={$random}%2; b[4]={$random}%2;

b[5]={$random}%2; b[6]={$random}%2; b[7]={$random}%2; end initial begin #100000 $stop;end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验二简单分频时序逻辑电路的设计 实验内容 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验仿真结果

北航自动控制系统原理实验资料报告材料1-4合集

自动控制原理 实验报告 实验一二阶系统的电子模拟及时域响应的动态测试实验二频率响应测试 实验三控制系统串联校正 实验四控制系统数字仿真 : 学号:单位:仪器科学与光电工程学院 日期:2013年12月27日

实验一二阶系统的电子模拟及时域响应的动态测试 一、实验目的 1. 了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系。 2. 学习在电子模拟机上建立典型环节系统模型的方法。 3. 学习阶跃响应的测试方法。 二、实验容 1. 建立一阶系统的电子模型,观测并记录在不同时间常数T时的跃响应曲线,并测定其过渡过程时间TS。 2. 建立二阶系统的电子模型,观测并记录在不同阻尼比ζ时的跃响应曲线,并测定其超调量σ%及过渡过程时间TS。 三、实验原理 1.一阶系统:系统传递函数为: 模拟运算电路如图1- 1所示: 图1- 1 由图1-1得 在实验当中始终取R2= R1,则K=1,T= R2C取不同的时间常数T分别为:0.25、0.5、1 2.二阶系统: 其传递函数为: 令=1弧度/秒,则系统结构如图1-2所示: 图1-2 根据结构图,建立的二阶系统模拟线路如图1-3所示:

图1-3 取R2C1=1 ,R3C2 =1,则及 ζ取不同的值ζ=0.25 , ζ=0.5 , ζ=1 四、实验步骤 1. 确定已断开电子模拟机的电源,按照实验说明书的条件和要求,根据计算的电阻电容值,搭接模拟线路; 2. 将系统输入端与D/A1相连,将系统输出端与A/D1相; 3. 检查线路正确后,模拟机可通电; 4. 双击桌面的“自控原理实验”图标后进入实验软件系统。 5. 在系统菜单中选择“项目”——“典型环节实验”;在弹出的对话框中阶跃信号幅值选1伏,单击按钮“硬件参数设置”,弹出“典型环节参数设置”对话框,采用默认值即可。 6. 单击“确定”,进行实验。完成后检查实验结果,填表记录实验数据,抓图记录实验曲线。 五、实验设备 HHMN-1电子模拟机一台、PC机一台、数字式万用表一块 六、实验数据 T 0.25 0.5 1 R2 250K 500K 1M C 1μF 1μF 1μF Ts理论0.75s 1.5s 3.0s Ts实测0.763s 1.543s 3.072s Ts误差 1.73% 2.87% 2.40% 响应图形图1 图2 图3

北航实验报告实验实验

实验三UC-OS移植实验 一、实验目的 在内核移植了uCOS-II 的处理器上创建任务。 二、实验内容 1.运行实验十,在超级终端上观察四个任务的切换。 2. 任务1~3,每个控制“红”、“绿”、“蓝”一种颜色的显示,适当增加OSTimeDly()的时间,且优先级高的任务延时时间加长,以便看清三种颜色。 3.引入一个全局变量BOOLEAN ac_key,解决完整刷屏问题。 4. #define rUTRSTAT0 (*(volatile unsigned *)0x50000010) #define RdURXH0()(*(volatile unsigned char *)0x50000024) 当键盘有输入时在超级终端上显示相应的字符。 三、实验设备 硬件:ARM嵌入式开发平台、用于ARM920T的JTAG仿真器、PC机Pentium100以上。 软件:PC机操作系统Win2000或WinXP、ARM ADS1.2集成开发环境、仿真器驱动程序、超级终端通讯程序。 四、实验原理 所谓移植,指的是一个操作系统可以在某个微处理器或者微控制器上运行。虽然uCOS-II的大部分源代码是用C语言写成的,仍需要用C语言和汇编语言完成一些与处理器相关的代码。比如:uCOS-II在读写处理器、寄存器时只能通过汇编语言来实现。因为uCOS-II在设计的时候就己经充分考虑了可移植性,所以,uCOS-II的移植还是比较容易的。 要使uCOS一工工可以正常工作,处理器必须满足以下要求: 1)处理器的C编译器能产生可重入代码。 2)在程序中可以打开或者关闭中断。 3)处理器支持中断,并A能产生定时中断(通常在10Hz}1000Hz之间)。 4)处理器支持能够容纳一定量数据的硬件堆栈。 5)处理器有将堆栈指针和其它CPU寄存器存储和读出到堆栈(或者内存)的指

北航自动控制元件复习提纲

自控元件复习提纲 一、关于考试(从学长处获得信息,仅供大家参考): 1、简答:eg:1)、为什么空载实验可以测r m ,x m? 2)、变压器中是否必须有无功功率? 2、论述:eg:1)、变压器工作原理。 2)、电机基本特点。 论述时必要时要作图说明。如要论述两相伺服电机为何有无自转特性时应该作出单相工作时两相伺服电机的机械特性曲线来说明。 3、计算:两个计算,一个直流,一个交流。 二、各章重点内容概述(参考往届笔记): 第1章直流磁路及其计算 重点章节:1-1,1-2,1-3 磁路总是闭合的;磁路计算的正反两类任务;等效磁路的画法第2章直流电磁铁及其典型应用 重点章节:2-1,2-2,另外第三节中的继电器的主要技术指标大家也要注意第3章直流电机的一般问题 重点章节:3-1,3-2,3-4,3-5,3-6 发电机、电动机的识别,电角的概念,电枢反应的概念,直流电机的电枢电动 势和电磁转矩的计算方法,直流电机的电势平衡关系、转矩平衡关系、功率平 衡关系,电枢绕组的具体原理如果不明白大家不必深究,只要知道概念就可以, 这里不是重点。 PS:本章是比较重要的一章,计算题可能出在这一章。 第4章直流测速发电机和直流伺服电动机 重点章节:4-1,4-2 第三节要掌握直流力矩电动机的特点,知道其应用场合以 及为什么要用在这些场合。 准确理解直流伺服电动机的工作原理、四种工作状态,准确掌握直流测速发电 机和直流伺服电动机的工作原理及特性(输入、输出等) 第5章变压器 重点章节:5-2,5-3,5-4,5-5,5-6 空载电压平衡式和等效电路及相量图,负载运行的电压平衡式和磁动势平衡式 及相量图和等效电路P101的图5-12大家仔细看。参数、额定数据和特性。磁 场问题转化到电路问题。 第6章异步电动机 重点章节:6-1,6-3,6-4,6-5 转差率S,功率传递,相电动势,第三节可能考计算,注意一下习题中的最后 两道计算题6.12,6.15 另外,第六章和第五章联系很紧密,大家可以结合起来复习效果更好。 第7章两相电机 重点章节:7-1,7-2 分解磁场,无自转的分析,稳定运行范围大,第一节的第(四)部分其它大家 可以不用管。异步测速发电机的输出电压的特点,原理,频率与转速无关,只 与电源有关。 第8章同步电动机

北航电子电路设计训练模拟分实验报告

北航电子电路设计训练模拟部分实验报告

————————————————————————————————作者:————————————————————————————————日期:

电子电路设计训练模拟部分实验 实验报告

实验一:共射放大器分析与设计 1.目的: (1)进一步了解Multisim的各项功能,熟练掌握其使用方法,为后续课程打好基础。 (2)通过使用Multisim来仿真电路,测试如图1所示的单管共射放大电路的静态工作点、电压放大倍数、输入电阻和输出电阻,并观察 静态工作点的变化对输出波形的影响。 (3)加深对放大电路工作原理的理解和参数变化对输出波形的影响。 (4)观察失真现象,了解其产生的原因。 图 1 实验一电路图 2.步骤: (1)请对该电路进行直流工作点分析,进而判断管子的工作状态。 (2)请利用软件提供的各种测量仪表测出该电路的输入电阻。 (3)请利用软件提供的各种测量仪表测出该电路的输出电阻。 (4)请利用软件提供的各种测量仪表测出该电路的幅频、相频特性曲线。 (5)请利用交流分析功能给出该电路的幅频、相频特性曲线。 (6)请分别在30Hz、1KHz、100KHz、4MHz和100MHz这5个频点利用示波器测出输入和输出的关系,并仔细观察放大倍数和相位差。 (提示:在上述实验步骤中,建议使用普通的2N2222A三极管,并请注 意信号源幅度和频率的选取,否则将得不到正确的结果。) 3.实验结果及分析: (1)根据直流工作点分析的结果,说明该电路的工作状态。 由simulate->analyses->DC operating point,可测得该电路的静态工作点为:

北航计软实验报告一

北航计软实验报告一

————————————————————————————————作者: ————————————————————————————————日期:

计算机软件基础上机实验报告(一) XXXXXX班XXX 1.实验目的 掌握线性表在顺序分配下的插入与删除运算;掌握线性表的链式存储结构;掌握插入排序的方法;并掌握一种产生随机数的方法。 2.实验内容 1.产生1000个0至999间的随机整数,并以产生的次序存入一个数据文件中。 2.编制一个程序,依次实现以下功能: (1)?定义一个有序(非递减)线性表,其最大容量为1000,初始时为空。 (2)?从由1产生的数据文件中依次取前N个随机整数,陆续插入到此线性表中,并要求在每次插入后保持线性表的有序性。最后将此有序线性表打印输出。 (3)?在由(2)产生的线性表中,依在1中产生的次序逐个将元素删除,直至表空为止。 3. 以N=100及N=400分别运行2的程序,并比较它们的运行时间。 4. 编写一个程序,用插入排序依次将1中产生的1000个随机整数链接成有序链表(不改变原随机数在存储空间中的顺序)。 3.源代码与运行结果 #include<stdio.h> #include<stdlib.h> #include /** 1_1 产生1000个0至999间的随机整数,并以产生的次序存入一个数据文件(1_1.txt)中。 **/ main() { FILE *fo=fopen("1_1.txt","w"); int i,j; srand((unsigned)time(0)); for(i=0;i<=999;i++)fprintf(fo,"%d\n",rand()%1000); fclose(fo); printf("1000个随机数已输出至目录下1_1.txt文件\n");

电气工程专业考研专业课初试科目及复试内容汇总

《电气工程专业考研专业课初试科目及复试内容汇总》 自动化专业的考研方向 自动化专业方向很广,考的时候还分双控,模式,电力电机等等方面,你可以参看学校是否在这个方面有无国家重点实验室,是不是国家重点学科来比较。 1. 清华, 2.中科院, 3.上海交大, 4.浙大,5华工,北航,东南,东北大学,西安交大,哈 尔滨工业大学,中国科技大学,华北电力,天津大学,东南大学,华中科技,武汉大学天津大学自动化 一般说来,初试的分数是最重要的,特别是考外校。当然,你的动手能力也是很重要的,还有你的英语口语,考研复试都是要考虑的。例如上海交大的复试,双控353的复试线,有380的被刷下来,就是英语口语已经专业课不是很扎实的。考外校的话依据学校而定是否要找导师 动手能力强,参加电子设计大赛都是作为你考研复试的参考,还是好好的准备初试的考试吧,毕竟它是个门槛。 【电气工程及其自动化】 北京工业大学 421自动控制原理 复试:1、电子技术2、计算机原理 北京航空航天大学 [双控] 432控制理论综合或433控制工程综合 [检测] 433控制工程综合或436检测技术综合 [系统] 431自动控制原理或451材料力学或841概率与数理统计 [模式] (自动化学院)433控制工程综合或436检测技术综合、(宇航学院)423信息类专业综合或431自动控制原理或461计算机专业综合 [导航] (自动化学院)432控制理论综合或433控制工程综合、(宇航学院)431自动控制原理 复试:无笔试。1) 外语口语与听力考核;2) 专业基础理论与知识考核;3) 大学阶段学习成绩、科研活动以及工作业绩考核;4) 综合素质与能力考核 北京化工大学 440电路原理 复试:综合1(含自动控制原理和过程控制系统及工程)、综合2(含自动检测技术装置和传感器原理及应用)、综合3(含信号与系统和数字信号处理) 注:数学可选择301数学一或666数学(单) 北京交通大学 [双控/检测]404控制理论 [模式]405通信系统原理或409数字信号处理 复试: [电子信息工程学院双控]常微分方程 [机械与电子控制工程学院检测]综合复试(单片机、自动控制原理) [计算机与信息技术学院模式] 信号与系统或操作系统

北航自动控制原理实验报告(完整版)

自动控制原理实验报告 一、实验名称:一、二阶系统的电子模拟及时域响应的动态测试 二、实验目的 1、了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系 2、学习在电子模拟机上建立典型环节系统模型的方法 3、学习阶跃响应的测试方法 三、实验内容 1、建立一阶系统的电子模型,观测并记录在不同时间常数T时的响应曲线,测定过渡过程时间T s 2、建立二阶系统电子模型,观测并记录不同阻尼比的响应曲线,并测定超调量及过渡过程时间T s 四、实验原理及实验数据 一阶系统 系统传递函数: 由电路图可得,取则K=1,T分别取:0.25, 0.5, 1 T 0.25 0.50 1.00 R2 0.25MΩ0.5M Ω1MΩ C 1μ1μ1μ T S 实测0.7930 1.5160 3.1050 T S 理论0.7473 1.4962 2.9927 阶跃响应曲线图1.1 图1.2 图1.3 误差计算与分析 (1)当T=0.25时,误差==6.12%; (2)当T=0.5时,误差==1.32%; (3)当T=1时,误差==3.58% 误差分析:由于T决定响应参数,而,在实验中R、C的取值上可能存在一定误差,另外,导线的连接上也存在一些误差以及干扰,使实验结果与理论值之间存在一定误差。但是本实验误差在较小范围内,响应曲线也反映了预期要求,所以本实验基本得到了预期结果。 实验结果说明 由本实验结果可看出,一阶系统阶跃响应是单调上升的指数曲线,特征有T确定,T越小,过度过程进行得越快,系统的快速性越好。 二阶系统 图1.1 图1.2 图1.3

系统传递函数: 令 二阶系统模拟线路 0.25 0.50 1.00 R4 210.5 C2 111 实测45.8% 16.9% 0.6% 理论44.5% 16.3% 0% T S实测13.9860 5.4895 4.8480 T S理论14.0065 5.3066 4.8243 阶跃响应曲线图2.1 图2.2 图2.3 注:T s理论根据matlab命令[os,ts,tr]=stepspecs(time,output,output(end),5)得出,否则误差较大。 误差计算及分析 1)当ξ=0.25时,超调量的相对误差= 调节时间的相对误差= 2)当ξ=0.5时,超调量的相对误差==3.7% 调节时间的相对误差==3.4% 4)当ξ=1时,超调量的绝对误差= 调节时间的相对误差==3.46% 误差分析:由于本试验中,用的参量比较多,有R1,R2,R3,R4;C1,C2;在它们的取值的实际调节中不免出现一些误差,误差再累加,导致最终结果出现了比较大的误差,另外,此实验用的导线要多一点,干扰和导线的传到误差也给实验结果造成了一定误差。但是在观察响应曲线方面,这些误差并不影响,这些曲线仍旧体现了它们本身应具有的特点,通过比较它们完全能够了解阶跃响应及其性能指标与系统参数之间的关系,不影响预期的效果。 实验结果说明 由本实验可以看出,当ωn一定时,超调量随着ξ的增加而减小,直到ξ达到某个值时没有了超调;而调节时间随ξ的增大,先减小,直到ξ达到某个值后又增大了。 经理论计算可知,当ξ=0.707时,调节时间最短,而此时的超调量也小于5%,此时的ξ为最佳阻尼比。此实验的ξ分布在0.707两侧,体现了超调量和调节时间随ξ的变化而变化的过程,达到了预期的效果。 图2.2 图2.1 图2.3

北航自动控制原理实验报告- 一、二阶系统的电子模拟及时域响应的动态测试

成绩 北京航空航天大学 自动控制原理实验报告 学院机械工程及自动化学院 专业方向机械工程及自动化 班级 学号 学生姓名刘帆 自动控制与测试教学实验中心

实验一 一、二阶系统的电子模拟及时域响应的动态测试 实验时间2014年11月15日 实验编号 同组同学 一、实验目的 1、 了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系。 2、 学习在电子模拟机上建立典型环节系统模型的方法。 3、 学习阶跃响应的测试方法。 二、实验内容 1、 建立一阶系统的电子模型,观测并记录在不同时间常数T 时的跃响应曲线,并测定其过渡过程时间T s 。 2、 建立二阶系统的电子模型,观测并记录在不同阻尼比ζ时的跃响应曲线,并测定其超调量σ%及过渡过程时间T s 。 三、实验原理 1、一阶系统阶跃响应性能指标的测试 系统的传递函数为:()s ()1 C s K R s Ts φ=+()= 模拟运算电路如下图 : 其中2 1 R K R = ,2T R C =;在实验中,始终保持21,R R =即1K =,通过调节2R 和C 的不同取值,使得T 的值分别为0.2,0.51,1.0。记录实验数据,测量过度过程的性能指标,其中取正负5%误差带,按照经验公式取3s t T =

2、二阶系统阶跃响应性能指标的测试 系 统 传递函数为: 令ωn=1弧度/秒,则系统结构如下图: 二阶系统的 模拟电路图如下: 在实验过程中,取22321,1R C R C ==,则 442312R R C R ζ==,即42 12R C ζ=;在实验当中取123121,1R R R M C C F μ===Ω==,通过调整4R 取不同的值,使得ζ分别为0.25,0.5,0.707,1;记录所测得的实验数据以及其性能指标,取正负5%误差 带,其中当ζ<1时经验公式为2 1 3.5 %100%,s n e t ζσζω- -=?= ,当ζ=1时经验公式 为n 4.75 ts ω= 四、试验设备: 1、HHMN-1型电子模拟机一台。 2、PC 机一台。 3、数字万用表一块。 4、导线若干。

北航数字图象处理实验报告

数字图像处理实验报告 实验二图像变换实验 1.实验目的 学会对图像进行傅立叶等变换,在频谱上对图像进行分析,增进对图像频域上的感性认识,并用图像变换进行压缩。 2.实验内容 对Lena或cameraman图像进行傅立叶、离散余弦、哈达玛变换。在频域,对比他们的变换后系数矩阵的频谱情况,进一步,通过逆变换观察不同变换下的图像重建质量情况。 3. 实验要求 实验采用获取的图像,为灰度图像,该图像每象素由8比特表示。具体要求如下: (1)输入图像采用实验1所获取的图像(Lena、Cameraman); (2)对图像进行傅立叶变换、获得变换后的系数矩阵; (3)将傅立叶变换后系数矩阵的频谱用图像输出,观察频谱; (4)通过设定门限,将系数矩阵中95%的(小值)系数置为0,对图像进行反变换,获得逆变换后图像; (5)观察逆变换后图像质量,并比较原始图像与逆变后的峰值信噪比(PSNR)。 (6)对输入图像进行离散余弦、哈达玛变换,重复步骤1-5; (7)比较三种变换的频谱情况、以及逆变换后图像的质量(PSNR)。 4. 实验结果 1. DFT的源程序及结果 J=imread('10021033.bmp'); P=fft2(J); for i=0:size(P,1)-1 for j=1:size(P,2) G(i*size(P,2)+j)=P(i+1,j); end end Q=sort(G); for i=1:size(Q,2) if (i=size(Q,2)*0.95) t=Q(i); end end G(abs(G)

FPGA实验报告北航电气技术实验

FPGA电气技术实践 实验报告 院(系)名称宇航学院 专业名称飞行器设计与工程(航天)学生学号XXXXXXXX 学生姓名XXXXXX 指导教师XXXX 2017年11月XX日

实验一四位二进制加法计数器与一位半加器的设计实验时间:2017.11.08(周三)晚实验编号20 一、实验目的 1、熟悉QuartusII的VHDL的文本编程及图形编程流程全过程。 2、掌握简单逻辑电路的设计方法与功能仿真技巧。 3、学习并掌握VHDL语言、语法规则。 4、参照指导书实例实现四位二进制加法计数器及一位半加器的设计。 二、实验原理 .略 三、实验设备 1可编程逻辑实验箱EP3C55F484C8 一台(包含若干LED指示灯,拨码开关等)2计算机及开发软件QuartusII 一台套 四、调试步骤 1四位二进制加法计数器 (1)参照指导书实例1进行工程建立与命名。 (2)VHDL源文件编辑 由于实验箱上LED指示灯的显示性质为“高电平灭,低电平亮”,为实现预期显示效果应将原参考程序改写为减法器,且”q1<= q1+1”对应改为”q1<= q1-1”,以实现每输入一个脉冲“亮为1,灭为0”。 由于参考程序中的rst清零输入作用并未实现,所以应将程序主体部分的最外部嵌套关于rst输入是否为1的判断,且当rst为1时,给四位指示灯置数”1111”实现全灭,当rst为0时,运行原计数部分。 (3)参照指导书进行波形仿真与管脚绑定等操作,链接实验箱并生成下载文件 (4)将文件下载至实验箱运行,观察计数器工作现象,调试拨动开关查看是否清零。 可以通过改变与PIN_P20(工程中绑定为clk输入的I/O接口)相连导线的另一端所选择的实验箱频率时钟的输出口位置,改变LED灯显示变化频率。 并且对照指导书上对实验箱自带时钟频率的介绍,可以通过改变导线接口转换输入快慢,排查由于clk输入管脚损坏而可能引起的故障。

北航17系光电子实验报告实验5讲解

光电子技术实验报告

实验五光电池特性实验 一.实验目的: 1.学习掌握硅光电池的工作原理。 2.学习掌握硅光电池的基本特性。 3.掌握硅光电池基本特性测试方法。 二.实验原理: 光电池是一种不需要加偏置电压就能把光能直接转换成电能的PN结光电器件,按光电池的功用可将其分为两大类:即太阳能光电池和测量光电池,本仪器用的是测量用的硅光电池,其主要功能是作为光电探测,即在不加偏置的情况下将光信号转换成电信号。 图(20)图(21)如图(20)所示为2DR型硅光电池的结构,它是以P型硅为衬底(即在本征型硅材料中掺入三价元素硼或镓等),然后在衬底上扩散磷而形成N型层并将其作为受光面。如图(21)所示当光作用于PN结时,耗尽区内的光生电子与空穴在内建电场力的作用下分别向N区和P区运动,在闭合电路中将产生输出电流IL,且负载电阻RL上产生电压降为U。显然,PN结获得的偏置电压U与光电池输出电流IL与负载电阻RL有关,即U=IL?RL,当以输出电流的IL为电流和电压的正方向时,可以得到如图(22)所示的伏安特性曲线。

图(22)图(23)光电池在不同的光强照射下可以产生不同的光电流和光生电动势,硅光电池的光照特性曲线如图(23)所示,短路电流在很大范围内与光强成线性关系,开路电压随光强变化是非线性的,并且当照度在2000lx时就趋于饱和,因此,把光电池作为测量元件时,应把它当作电流源来使用,不宜用作电压源。 硒光电池和硅光电池的光谱特性曲线如图(25)所示,不同的光电池其光谱峰值的位置不同,硅光电池的在800nm附近,硒光电池的在540nm附近,硅光电池的光谱范围很广,在450~1100nm之间,硒光电池的光谱范围为340~750nm。 图(24)图(25)光电池的温度特性主要描述光电池的开路电压和短路电流随温度变化的情况,由于它关系到应用光电池设备的温度漂移,影响到测量精度或控制精度等主要指标,光电池的温度特性如图(24)所示。开路电压随温度升高而下降的速度较快,而短路电流随温度升高而缓慢增加,因此,当使用光电池作为测量元件时,在系统设计中应考虑到温度的漂移,并采取相应的措施进行补偿。 三.实验所需部件: 两种光电池、各类光源、实验选配单元、数字电压表(4 1/2位)自备、微安表(毫安表)、激光器、照度计(用户选配)。

北航电涡流传感器实验报告

电涡流传感器实验报告 38030414蔡达 一、实验目的 1.了解电涡流传感器原理; 2.了解不同被测材料对电涡流传感器的影响。 二、实验仪器 电涡流传感器实验模块,示波器:DS5062CE,微机电源:WD990型,士12V,万用表:VC9804A型,电源连接电缆,螺旋测微仪 三、实验原理 电涡流传感器由平面线圈和金属涡流片组成,当线圈中通以高频交变电流后,在与其平行的金属片上会感应产生电涡流,电涡流的大小影响线圈的阻抗Z,而涡流的大小与金属涡流片的电阻率、导磁率、厚度、温度以及与线圈的距离X有关,当平面线圈、被测体(涡流片)、激励源确定,并保持环境温度不变,阻抗Z只与距离X有关,将阻抗变化转为电压信号V输出,则输出电压是距离X的单值函数。

四. 实验数据及处理 1.铁片 0.5 1 1.52 2.5 3 3.5 电涡流传感器电压位移曲线—铁片 电压/V 位移/mm

0.5 1 1.5 2 2.53 3.5 电涡流传感器电压位移拟合曲线—铁片 电压/V 位移/mm 其线性工作区为0.6——3.4,对该段利用polyfit 进行函数拟合,可得V=-1.0488X-1.2465 2.铜片

电涡流传感器电压位移曲线—铜片 电压/V 位移/mm 2.2 2.4 2.6 2.83 3.2 3.4 3.6 -6-5.95-5.9-5.85 -5.8-5.75-5.7 -5.65-5.6-5.55-5.5电涡流传感器电压位移拟合曲线—铜片 电压/V 位移/mm 其线性工作区为2.4——3.4,对该段利用polyfit 进行函数拟合,可得V= -0.4500X -4.4667

自动控制原理实验报告

自动控制原理 实验报告

实验一一、二阶系统的电子模拟及时域响应的动态测试 实验目的 1.了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系。 2.学习在电子模拟机上建立典型环节系统模型的方法。 3.学习阶跃响应的测试方法。 二、实验内容 1.立一阶系统的电子模型,观测并记录在不同时间常数T时的跃响应曲线, 并测定其过渡过程时间TS。 2.立二阶系统的电子模型,观测并记录在不同阻尼比ζ时的跃响应曲线, 并测定其超调量σ%及过渡过程时间TS。 三、实验原理 1.一阶系统: 系统传递函数为:错误!未找到引用源。 模拟运算电路如图1-1所示: 图1-1 由图得: 在实验当中始终取错误!未找到引用源。, 则错误!未找到引用源。, 错误!未找到引用源。 取不同的时间常数T分别为: 0.25、 0.5、1。 记录不同时间常数下阶跃响应曲线,测量纪录其过渡过程时 ts。(取错误! 未找到引用源。误差带) 2.二阶系统: 其传递函数为: 错误!未找到引用源。 令错误!未找到引用源。,则系统结构如图1-2所示:

图1-2 根据结构图,建立的二阶系统模拟线路如图1-3所示: 图1-3 取错误!未找到引用源。,错误!未找到引用源。,则错误!未找到引用源。及错误!未找到引用源。 错误!未找到引用源。取不同的值错误!未找到引用源。 , 错误!未找到引用源。, ,观察并记录阶跃响应曲线,测量超调量σ%(取错误!未找到引用源。误差带),计算过渡过程时间Ts。 四、实验设备 1.HHMN-1型电子模拟机一台。 2.PC 机一台。 3.数字式万用表一块。 4.导线若干。 五、实验步骤 1.熟悉HHMN-1型电子模拟机的使用方法,将各运算放大器接成比例器,通电调零。 2.断开电源,按照实验说明书上的条件和要求,计算电阻和电容的取值,按照模拟线路图搭接线路,不用的运算放大器接成比例器。 3.将D/A1与系统输入端Ui连接,将A/D1与系统输出端UO连接(此处连接必须谨慎,不可接错)。线路接好后,经教师检查后再通电。 4.在Windows XP桌面用鼠标双击MATLAB图标后进入,在命令行处键入autolab 进入实验软件系统。 5.在系统菜单中选择实验项目,选择实验一,在窗口左侧选择实验模型,其它步骤察看概述3.2节内容。 6.观测实验结果,记录实验数据,绘制实验结果图形,填写实验数据表格,完成实验报告。 7.研究性实验方法。实验者可自行确定典型环节传递函数,并建立系统的SIMULINK模型,验证自动控制理论相关的理论知识。实现步骤可察看概述3.3节内容。

北航verilog实验报告(全)

目录 实验一 (2) 实验二 (8) 实验三 (14) 实验四 (27)

实验一 实验目的:熟悉硬件开发流程,掌握Modelsim设计与仿真环境,学会简单组合逻辑电路、简单时序逻辑电路设计,不要求掌握综合和综合后仿真。 实验内容:必做实验:练习一、简单的组合逻辑设计 练习二、简单分频时序逻辑电路的设计 选做实验:选做一、练习一的练习题 选做二、7段数码管译码电路 练习一、简单的组合逻辑设计 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验代码: 模块源代码: module compare(equal,a,b); input a,b; output equal; assign equal=(a==b)?1:0; endmodule 测试模块源代码: `timescale 1ns/1ns `include "./compare.v" module t; reg a,b; wire equal; initial begin a=0; b=0; #100 a=0;b=1; #100 a=1;b=1; #100 a=1;b=0; #100 a=0;b=0; #100 $stop; end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验波形

练习二、简单分频时序逻辑电路的设计 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验代码: 模块源代码: module halfclk(reset,clkin,clkout); input clkin,reset; output clkout; reg clkout; always@(posedge clkin) begin if(!reset) clkout=0; else clkout=~clkout; end endmodule 测试模块源代码: `timescale 1ns/100ps `define clkcycle 50 module tt; reg clkin,reset; wire clkout; always#`clkcycle clkin=~clkin; initial begin clkin=0; reset=1; #10 reset=0; #110 reset=1; #100000 $stop; end halfclk m0(.reset(reset),.clkin(clkin),.clkout(clkout)); endmodule

北航eda实验报告

2014-2015-2-G02A3050-1 电子电路设计训练(数字EDA部分) 实验报告 (2015年5月19日) 教学班学号姓名组长签名成绩120311王天然* 120311马璇 120312唐玥 自动化科学与电气工程学院

目录 ( 2015年5月19日).........................................错误!未定义书签。目录 .........................................................错误!未定义书签。实验一、简单组合逻辑和简单时序逻辑............................错误!未定义书签。 简单的组合逻辑设计..................................错误!未定义书签。 实验目的和内容:..................................错误!未定义书签。 实验源代码:......................................错误!未定义书签。 测试模块源代码:..................................错误!未定义书签。 简单分频时序逻辑电路的设计...........................错误!未定义书签。 实验目的和内容:..................................错误!未定义书签。 实验源代码:......................................错误!未定义书签。 实验测试源代码:..................................错误!未定义书签。 (选作)设计一个字节(8位)比较器....................错误!未定义书签。 实验内容:........................................错误!未定义书签。 实验代码:........................................错误!未定义书签。 实验测试源代码:..................................错误!未定义书签。 实验小结.............................................错误!未定义书签。实验二、条件语句和always过程块...............................错误!未定义书签。 实验任务1——利用条件语句实现计数分频时序电路.......错误!未定义书签。 实验要求.........................................错误!未定义书签。 模块的核心逻辑设计...............................错误!未定义书签。 测试程序的核心逻辑设计...........................错误!未定义书签。 仿真实验关键结果及其解释.........................错误!未定义书签。 实验任务2——用always块实现较复杂的组合逻辑电路....错误!未定义书签。

北航惯性导航综合实验一实验报告

实 验一 陀螺仪关键参数测试与分析实验 加速度计关键参数测试与分析实验 二零一三年五月十二日 实验一陀螺仪关键参数测试与分析实验 一、实验目得 通过在速率转台上得测试实验,增强动手能力与对惯性测试设备得感性认识;通过对陀螺仪测试数据得分析,对陀螺漂移等参数得物理意义有清晰得认识,同时为在实际工程中应用陀螺仪与对陀螺仪进行误差建模与补偿奠定基础。 二、实验内容 利用单轴速率转台,进行陀螺仪标度因数测试、零偏测试、零偏重复性测试、零漂测试实验与陀螺仪标度因数与零偏建模、误差补偿实验。 三、实验系统组成 单轴速率转台、MEMS 陀螺仪(或光纤陀螺仪)、稳压电源、数据采集系统与分析系统。

四、实验原理 1.陀螺仪原理 陀螺仪就是角速率传感器,用来测量载体相对惯性空间得角速度,通常输出与角速率对应得电压信号。也有得陀螺输出频率信号(如激光陀螺)与数字信号(把模拟电压数字化)。以电压表示得陀螺输出信号可表示为: (1-1)式中就是与比力有关得陀螺输出误差项,反映了陀螺输出受比力得影响,本实验不考虑此项误差。因此,式(1-1)简化为 (1-2)由(1-2)式得陀螺输出值所对应得角速度测量值: (1-3) 对于数字输出得陀螺仪,传感器内部已经利用标度因数对陀螺仪模拟输出进行了量化,直接输出角速度值,即: (1-4)就是就是陀螺仪得零偏,物理意义就是输入角速度为零时,陀螺仪输出值所对应得角速度。且 (1-5) 精度受陀螺仪标度因数、随机漂移、陀螺输出信号得检测精度与得影响。通常与表现为有规律性,可通过建模与补偿方法消除,表现为随机特性,可通过信号滤波方法抵制。因此,准确标定与就是实现角速度准确测量得基础。 五、陀螺仪测试实验步骤 1)标度因数与零偏测试实验 a、接通电源,预热一定时间; b、陀螺工作稳定后,测量静止情况下陀螺输出并保存数据;

自动控制元件作业答案

《自动控制元件》作业 第一章 直流伺服电动机 1-1直流伺服电动机的电磁转矩和控制电流由什么决定? 答:a :由T em =C m ΦI a 知电磁转矩由每极磁通量和绕组电流大小决定。 b :由T em =T 0 +T 2 =CmΦIa 控制电流由负载转矩(T 2)和空载转矩(T 0)大小决定。 1-2当直流伺服电动机的负载转矩恒定不变时,控制电压升高将使稳态的电磁转矩、控制电流、转速发生怎样的变化?为什么? 答:a :电磁转矩T em =T 0 +T 2可见电磁转矩也不变。由T em =C m ΦI a 知控制电流 I a 也不变 b :KeKt RaTem Ke Ua n -=知T em 不变可见U a 转速升高理想空载转速变大导致转速n 升高。 1-3已知一台直流电动机,其电枢额定电压Ua=110V ,额定运行时电枢电流Ia=0.4A ,转速n=3600rpm ,它的电枢电阻Ra=50欧姆,负载阻转矩To=15mN.m 。试问该电动机额定负载转矩是多少? 答:Ea= Ua- IaRa=110-0.4×50=90V Ea=Ce Φn, Ce=0.105Cm Cm Φ=0.2383600 0.10590n 105.0=?=?Ea T em =T 0 +T 2=CmΦI a →T 2=CmΦIa -T 0 =0.40.238=0.0952-15×10-3=80.2mN.m 1-6当直流伺服电动机电枢电压、励磁电压不变时,如将负载转矩减少,试问此时电动机的电枢电流、电磁转矩、转速将怎样变化?并说明由原来的状态到新的稳态的物理过程。 答:磁转矩T em =T 0 +T 2可见T 2 ↓电磁转矩也↓。由T em =C m ΦI a 知控制电流I a ↓

北航电气实验FPGA实验报告

北京航空航天大学电气实验报告 FPGA实验 张天 130325班 学号:13031220

一.实验目的 略 二.实验要求 略 三.实验设备 略 四.实验内容 略 五.实验实例 1.实例6-1 思考题1:输出信号q3q2q1绑定接口电路的七段数码管或米字型数码管或LED点 阵显示? 答: 思考题2:怎样修改成4位二进制减法计数器,具有清零,启动控制功能等? 答: 思考题3:把计数器修改成2位或更多位十进制计数功能,再用七段数码管进行显示等? 答: 2.实例6-2 思考题:一位半加器电路采用VHDL语言实验 答: library ieee; use ieee.std_logic_1164.all; use iee.std_logic_unsigned.all; entity halfadd is port (a,b;in std_logic sum,carry; out std_logic) end entity halfadd; architecture halfadd is begin sum<=a and(not b)+b and (not a); carry<= a and b; end architecture halfadd; 六.实验过程 我们组做的是一个利用led点阵规律亮灭变化形成字体,并且字体产生变化,形成“自动化?”的样子,实现图片如下图:

1.实验分析: 实验设计思路: 本实验的设计思路是利用led灯的 辉光效应,利用逐行扫描,在高频情况下就会显示所有行的亮灯,进而形成汉字,并且有时钟计数程序,当时钟数字达到规定值(本实验为111111111b)时,跳转到下一个状态,显示第二个憨子。每个汉字的颜色由led灯决定,改led矩阵有红绿两种led灯,因此有红绿橙三种颜色显示。 2.实现过程 对设计思路的实现并非一帆风顺,最初编写的时候遇到了一些问题。首先,定义输入输出角是个繁琐的事情(需要定义40+次,每次必须手动),另外,在程序编写过程中,也出现了一些逻辑错误,对于错误,我们仔细逐条语句分析,最终解决了错误,解决过程中也加深了对FPGA的语言逻辑及硬件结构的理解。 七.FPGA使用心得 在学习FPGA过程中,我获得了很多收获。首先,由于有单片机的基础,上手过程并不是十分复杂,对于输入输出的理解我没有遇到太多阻碍。这次学习也验证了我具有短时间内掌握一款新型的芯片的能力。学习过程中最大的困难就是VDHL语言的编写。我们以前有c语言的基础,不过学习这种新的语言还是花出了不少时间与精力,现在可以说基本掌握的VDHL的基本写法和思路,能运 用到需要的程序中来。另外,调试的过程能极强地加大对程序的理解及逻辑的构建,在调试中,逐渐明白了以前不懂的东西,对FPGA的工作原理的理解更加透彻了。 不了解的人可能会把FPGA当做一种单片机,但其实,相比于单片机,FPGA 是有很多优势的。其无固定的硬件结构使其具有远超单片机的灵活性,另外,它的编程方法可以同步进行多个process 使其能同步处理多个进程,因此,它的

相关文档
最新文档