彩灯控制电路设计

彩灯控制电路设计
彩灯控制电路设计

总成绩:

一、设计任务

彩灯控制电路设计。

二、设计条件

本设计基于学校实验室的环境,根据实验室提供的实验条件来设计完成任务。实验室为该设计提供的仪器设备和主要元器件如下:

直流稳压电源一台

双踪示波器一台

函数信号发生器一台

数字万用表一只

EEL-69模拟、数字电子技术实验箱一台

“集成运算放大器应用”实验板一块

移位寄存器74LS194、与非门74LS00、74LS20、同步加法计数器74LS161、555定时器、电阻、电容、导线若干。

三、设计要求

本设计要求利用移位寄存器74LS194为核心元件设计一个八路彩灯循环系统,要求彩灯显示以下花型:

花型Ⅰ—8路彩灯由中间到两边对称地依次点亮,全亮后仍由中间向两边依次熄灭。

花型Ⅱ—8路彩灯分成两半,从左自右顺次点亮,再顺次熄灭。

要求利用一个开关实现花型Ⅰ和花型Ⅱ的切换。

要求利用555时基电路和计数器74LS161设计秒脉冲发生器做为时序脉冲。

四、设计内容

1.电路原理图

2.计算与仿真分析

3.元器件清单

直流稳压电源一台

数字万用表一只

EEL-69模拟、数字电子技术实验箱一台

移位寄存器74LS194、与非门74LS00、74LS20、同步加法计数器74LS161、555定时器、电阻、电容、导线若干

4.调试流程

先调试由555时基电路和计数器74LS161构成的秒脉冲发生器,产生1Hz的时钟信号,其中电阻R2接可变电阻,根据实际输出改变为合适值。频率可比照标准信号灯调节。

然后单独连接74LS161构成的电路,先用实验箱上的1Hz方波作为其输入时钟信号,将输出先接到四个LED灯上,看是否能产生预期的现象。成功后再将555时基电路的输出信号将1Hz输入信号替换,再检验。

接着连接第一个74LS194,波动开关看LED灯是否能产生预计花型。。成功后再连接第二个74LS194,做同样的检查。

5.设计和使用说明

利用555时基电路和计数器74LS161设计秒脉冲发生器做为移位电路时序脉冲,按图示节点号1、2、3、4、8、7、6、5对应从左到右的八个彩灯。开关Switch高电平时显示花型-Ⅰ、低电平时显示花型-Ⅱ。

五、设计总结

通过自行设计实验彩灯控制电路,使我们更加熟悉了移位寄存器74LS194、与非门74LS00、74LS20、同步加法计数器74LS161、555定时器的参数计算和管脚接线。

六、设计参考资料

1.吴建强《电工学新技术实践》机械工业出版社;

2.《电工学-电子技术》第二版哈尔滨工业大学电工学教研室杨世彦主编,机械工业出版社。

简易彩灯控制器电路

第一章.系统的方案的设计 1.1课程设计的要求 1. 要求电路能够控制8个以上的彩灯。 2. 要求彩灯组成四种以上的花形,每种花形连续循环两次,各种花形轮流显示。 1.2 课程设计的目的 1.阅读相关科技文献,本次课程设计需要对电子线路的设计与分析有一定的了解,所以对学生查阅一些科技文献能力提出了要求。 2.学习使用protel软件,本设计中需要画电路逻辑原理图,接线图,器件的引脚与功能图与功能表,真值表等的绘制,需要使用绘图软件。 3.要求会总节设计报告,终结报告时我们的一项基本能力,对所用原件及原理图进行解释,便于查找错误,也便于他人的阅读和了解。培养了我们的综合分析,解决问题的能力。 4.学会了解一些器件的参数及功能,对各种芯片的功能有所里了解并能够简单的应用。 5.培养电子设计的兴趣,有助于我们进一步了解数电课程。 1.3设计思路 设计电路系统可以由四部分组成,分别是:1.脉冲发生器,由555定时器,电阻及电容构成;2.分频电路,由四位二进制计数器74LVC161组成,为D触发器提供时钟信号;3.状态机电路,由双D触发器组成;4)移位显示器,由双向移位寄存器74HC194和发光二极管组成,实现花型显示。 1.4 设计框图 图1-4

把四花型彩光灯设计分为几个独立的功能模块进行设计,每个模块完成特定的功能,再它们有机的组织起来构成一个系统完成彩灯控制器的设计。系统可由四个模块组成。它们分别为:时钟振荡电路,555定时器构成多谐振荡器;分频电路,由四位二进制计数器 74LS161组成,为D 触发器提供时钟;状态机电路,由双 D 触发器组成;移位显示电路,由双向移位寄存器 74194 和发光二极管组成,实现花型显示。 电路系统由四部分组成: 1)时钟振荡电路由555定时器,电阻及电容构成时钟振荡电路,为系统提供时钟; 2)分频电路由四位二进制计数器74LVC161组成,为D触发器提供时钟信号,为状态机提供时钟; 3)状态机电路由双D触发器74LS74组成; 4)移位显示器由双向移位寄存器74HC194组成。 1.5 工作原理分析 由555定时器构成的时钟振荡电路产生固定频率的脉冲,一方面作用于由74161组成的分频电路,一方面作用于由74F194构成的移位输出电路,为他们提供时钟信号。由于74161是16分频计数器,故每十六个脉冲74LS161进位一次,致使触发器U1A翻转一次,而触发器U2A的3脚连接的是触发器U1A的5脚,实现了U1A的16分频和U2A的32分频。所以平均U1A翻转两次而U2A翻转一次。集成移位寄存器74194由个RS触发器及他们的输入控制电路组成,其中S1和S0是两个控制输入端。双D触发器的输出端改变S0,S1的值,实现左右移动控制。可组成U1A左移,U2A右移;U1A右移,U2A右移;U1A左移,U2A左移;U1A右移,U2A左移四种花型。每十六个脉冲每种花型恰好循环两次,而此时D触发器翻转,转换为下一种花型。 1.6 设计方案 用移位寄存器来控制彩灯的左右移动,用触发器和计数器组成的周期性触发电路,而此电路中的CP脉冲用NE555定时器通过外接电路实现。此种电路的优点就是CP脉冲的频率稳定,彩灯花样变换的效果好,而且实现了自动控制,于预期控制。

基于89c51单片机控制的LED音乐彩灯控制器的设计说明

基于89c51单片机控制的LED音乐彩灯控制器的设计 随着人们对房屋的装饰需要彩灯,在许多城市可以看到彩色霓虹灯。LED彩灯由于其丰富的 灯光色彩,便宜的造价以及简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建础物已经成为一种时尚。但目前市场上各种各样的LED彩灯控制器大多数用全硬件电路实现, 电路结构复杂、电路复杂、功率损耗大等缺点。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性…… 本方案提出一种基于8951 单片机的彩灯控制方案,实现对LED 彩灯的控制。本方案以8951单片机作为主控核心,在主控模块上设有3个按键和8个LED显示灯,根据需要可以 编写若干种亮灯模式,利用其部定时器TO实现一个基本单位时间为1ms的定时中断,根据 各种亮灯时间的不同需要,在不同时刻输出灯亮或灯灭的控制信号,然后驱动各种颜色的灯亮或灭。与普通LED彩灯相比,具有体积小、价格低、功耗低等优点。 2 系统功能 LED彩灯用软件控制硬件的方式来控制彩灯闪烁,即彩灯控制器和管LED模块。彩灯采 用8951提供+ 5V电压工作,经过电源变换,输出直流工作电压,一方面为管LED模块提供 + 5V工作电源,另一方面为主控模块单片机系统彩灯控制器提供5V工作电源。整个系统工 作由软件程序控制运行,根据需要用户可以在LED彩灯工作时通过主控模块上的按键来设定亮灯时间和灯光闪动频率。 上电后系统经过初始化,查询是否有功能切换键按下:有,则进入设定模式状态;无,则进入默认工作状态。在设定模式状态下,可以根据个人爱好及不同场合的需要来指定调用哪种模式,并且可以改变每种模式的时间T1、频率F1参数,在工作状态下,LED彩灯控制器按照程序设定好的若干亮灯花样模式程序顺序调用往下走,开始工作,依次8个等亮完为 一个亮灯周期,然后再回主循环继续工作,同样如果想进入其它设定模式状态,只需按下功能切换键即可。整个3 种亮灯模式时间可以看作一个大周期T, 对于每一个模式编写一个独立工作子程序,其中设定了从左到右点亮LED,从右到左点亮LED,还有自己定义的LED点 亮方式。 因此在LED彩灯上电工作后,可以方便地通过主控模块上的显示器指定LED彩灯当前 工作模块,工作时间Ti ,频率Fi 等实时参数。若实际应用需要根据不同场合和时间来改变彩灯闪亮效果,可以通过主控模块上的按键来设定LED不同的闪烁频率Fi和亮灯时间Ti , 以便符合实际需要。此外如果对某一种模式感兴趣需要仔细观看该种亮灯模式, 可以让程序 循环。 硬件设计 LED彩灯系统包括,即LED彩灯控制器(8951主控模块),LED彩灯在8951外部接一个震荡时钟频率。用8951的控制器,具有按键、显示等功能,并利用8951的P0 口的8个输 出端上面焊有8个LED彩灯,使用彩灯在软件的控制下工作。 主控模块电路设计 主控模块电路如图1所示。主控模块主要设计器件有89C51, 8个LED显示器,3个开 关按键,1个稳压器(5V电压),1个外部晶振振荡器信号输出驱动,4个电阻。通过软件设 计,使单片机P0 口作为三色LED驱动信号输出口及移位时钟CLOCK!号,8951的P0为LED 的输出口。 软件设计 LED彩灯控制器最大特点在于所有亮灯模式均由软件控制完成。系统中软件可以分为主 程序和中断服务子程序。上电后在按键控制灯的闪烁方式, 通过软件来控制循环, 以一个单位实际1ms的TO定时为中断服务子程序。在这个1ms的TO定时基础上,可以根据需要来确定各种模式工作时间Ti,以及确定在各种亮灯点亮和熄灭各种状态LED灯等。整个系统软 件由程序、各种模式子程序、1ms中断服务子程序、调用循环程序组成。利用TO定时器作

彩灯控制器的设计实验报告

专业班级 院系物理与电子信息学院 姓名学号同组人 实验室组号日期 成绩 课程单片机原理与应用指导老师肖鹏程 试验项目编号 试验项目名称多功能彩灯控制器的设计一、实验目的 1.熟悉Keil uVision2软件的安装和应用; 2.熟悉Proteus7.8软件的安装和应用; 3.掌握《单片机多功能彩灯控制器的设计》的编程、仿真和调试方法。 二、实验环境 1.微机一台; 2.Proteus7.8电路设计和仿真软件; 3.Keil uVision2编译和调试软件; 三、实验原理 图1是单片机多功能彩灯控制器的电路原理图,P2接8只LED,限流电阻为220Ω,时钟电路接在单片机的DIP18、DIP19,复位电路接在单片机的DIP9, DIP31接Vcc。 要求用C语言编写程序,使该电路的功能为,上电后8只LED的显示状态为:【○○○○○○○●】→【○○○○○○●○】→【○○○○○●○○】→【○○○○●○○○】→【○○○●○○○○】→【○○●○○○○○】→【○●○○○○○○】→【●○○○○○○○】→【○○○○○○○○】, 时间间隔为300mS,循环往复。

图1单片机输出控制电路原理图 多功能彩灯控制器的参考程序如下: #include unsigned char code table001[]={128,64,32,16,8,4,2,1,0}; void delay300ms(); main() { unsigned char m; while(1)

{ for(m=0;m<=8;m++) { P2=~table001[m]; delay300ms(); } } } void delay300ms() { unsigned char i,j,k; for(i=3;i>0;i--) for(j=200;j>0;j--) for(k=248;k>0;k--); } 四、实验步骤 1.安装Keil uVision2; 2.安装Proteus 7.8; 3.在Proteus ISIS环境下设计一个用单片机的I/O口(P2)作为Output控制8只LED的电路; 4.在Keil uVision2环境下,用C语言编写多功能彩灯控制器的程序,编译生成hex文件。当出现错误时,使用Debug调试和修改程序,直到生成hex文件。5.将生成的hex文件加载到单片机,运行仿真,观察现象,记录结果; 五、实验记录与处理 1. 多功能彩灯控制器的实验结果(仿真)如下: 时间LED工作状态时间LED工作状态 0 0

节日彩灯控制器的设计

节日彩灯控制器的设计

物理与电子信息学院 单片机与接口技术课程设计报告 设计题目: 节日彩灯控制器的设计 专 业: 电子信息工程 班 级: 13电子(1)班 姓 名: 韩乐乐、吴旭、杨晓帆 教师评语:

节日彩灯控制器的设计 摘要 随着微电子技术的发展,人民的生活水平不断提高,人们对周围环境的美化和照明已不仅限于单调的白炽灯,彩灯已成为时尚的潮流。彩灯控制器的实用价值在日常生产实践日常生活中的作用也日益突出。基于各种器件的彩灯也都出现,单片机因其价格低廉,使用方便,控制简单而成为控制彩灯的主要器件。 目前市场上更多用全硬件电路实现,电路结构复杂,结构单一,一旦制成成品就只能按固定模式,不能根据不同场合,不同时段调节亮度时间,模式和闪烁频率等动态参数,而且一些电路存在芯片过多,电路复杂,功率损耗大,亮灯样式单调缺乏可操作性等缺点,设计一种新型彩灯已迫不及待。 近年来,彩灯对于美化、亮化城市有着不可轻视的重要作用。因此作为城市装饰的彩灯需求量越来越大,对与彩灯的技术和花样也越来越高。目前市场上各种式样的LED彩灯多半是采用全硬件电路实现,存在电路结构复杂、功能单一等局限性,因此有必要对现有的彩灯控制器进行改进。本文介绍了一种简易LED 彩灯控制系统的软硬件设计过程,以STC-89C52单片机作为主控核心与辅助硬件电路相结合,利用软件实现对LED彩灯进行控制。本系统具有电路结构简单、操作容易、硬件少、成本低等特点。 关键词:LED彩灯、AT89C51单片机、彩灯控制器

ABSTRACT With the development of microelectronics technology, improve people's living standard, people on the surrounding environment, landscaping and lighting are not limited to monotonous incandescent lights have become a fashion trend. Lantern controller practical value in daily production practices in daily life have become increasingly prominent role. Carnival is also based on a variety of devices have emerged, the microcontroller because of its low price, easy to use, simple to control your lights controlling the main device. More on the market with all the hardware circuit, the circuit structure is complex, single structure, once the finished products can only be a fixed pattern, not according to different occasions, adjust the brightness of different time periods, patterns and blinking frequency of the dynamic parameters, and some circuit The chip too much, circuit complexity, power consumption large, Liang Deng style monotonous lack of operation and other shortcomings, design a new type of lights can not wait. In recent years, the lantern for the landscaping, lighting the city has an important role should not be underestimated. So the city decorated with lanterns as the growing demand for technology and lanterns have become more sophisticated and synchronized. Currently on the market a variety of style LED lantern is the most hardware-wide realization of the existence of complex circuit structure, functions and other limitations of a single, it is essential to the current controller to improve the lantern. This paper introduces a simple control system of the LED lantern design process of hardware and software, with STC-89C52 single-chip microcomputer as the master core and supporting the combination of hardware circuitry, using software control of the LED lanterns. The specialties of this system are simple structure, easy control, less hardware, low cost, and so on. Key words: LED Lantern、AT89C51 SCM 、Lantern controller

小彩灯控制电路设计

实验5 彩灯控制电路 一、实验目的 1. 掌握彩灯控制电路的设计和实现; 2.综合运用所学器件进行简单电路的设计; 3.熟练掌握74LS00、74LS86、74LS90、74LS138的综合应用。 二、实验设备 1、函数信号发生器 2、数字双踪示波器 3、集成电路:74LS00 4、集成电路:74LS86 5、集成电路:74LS90 6、集成电路:74LS138 7、发光二级管、电阻、开关等 三、实验内容 1、彩灯控制电路要求控制4个彩灯; 2、两个控制信号:S1S0= 00 灯全灭; S1S0=01 右移,循环显示; S1S0=10 左移,循环显示; S1S0=11 灯全亮。 四、实验结果 1.彩灯控制电路简单的系统框图介绍: ↓ ↓

↓ 2.详细设计思路: S1S0每个状态下4个彩灯有四种状态变化,用74LS90产生脉冲,按照四进制接法,接入74LS138作为74LS138芯片的驱动信号,然后进行译码操作,从而实现彩灯的控制电路的设计,下面列出该实验的真值表 其中:QA、QB为74LS90的输出端,G1为74LS138的控制端,A、B、C为输入端,Y0-Y7为输出端,X0、X1、X2、X3为四个彩灯的状态显示,0表示灭,1表示亮。 3.彩灯控制电路逻辑真值表: 彩灯控制电路的真值表

4.由此可以得到相应的逻辑关系如下: C=S1 B=QA A=QB G1=S1⊕S0 X0=Y0+Y4+S1S0 X1=Y1+Y7+S1S0 X2=Y2+Y6+S1S0 X3=Y3+Y5+S1S0 5.实验仿真电路图如下所示: (1)其中函数信号发生器设置为方波,1Hz; (2)开关S1中上面为S1,下面为S0,左拨为0,右拨为1; (3)四个彩灯使用红色发光二极管显示,从左到右的循环等价于图中从上到下的循环,从右到左的循环等价于图中从下到上的循环显示,另外每个二极管各添加了一个500欧的电阻来限制电流,防止二极管烧坏。

音乐彩灯控制电路数电FPGA设计(含代码)

音乐彩灯控制电路 1、申请题目:音乐彩灯控制电路 采用4×4矩阵式键盘作为电子琴按键输入,用FPGA设计一个既可以区分不同音高和音阶进行乐曲的弹奏,又可以自动播放乐曲的音乐电路。同时,在播放音乐的同时,点阵板上的彩灯会随着不同的音乐、不同的音阶、音调而出先不同的花型变化。按键音符可以通过数码管显示出来。 2、项目规划: 音乐演奏电路,既有通过键盘自由弹奏,又可以播放内存乐曲(播放的乐曲由设计者自由编辑,以简谱二进制码方式存放在ROM的数据文件中)。 彩灯显示电路,在音乐播放的同时,根据音乐节拍的不同,16路彩灯进行各种花型的变化,彩灯的亮灭有多种不同的模式,可以根据八个拨码开关来进行设计,进行自由组合搭配。不同的音乐对应不同的彩灯模式,每种模式执行16拍后依次循环改变,当音乐停止时,彩灯的变化也停止。 设置启动、停止控制键,可以结束音乐的播放和彩灯变化。 设置选择音乐播放键,共存储了3首音乐,可以通过选择键进行选择播放具体不同的音乐。 设置了彩灯变化选择按钮,有普通彩灯和动感彩灯两种方式进行选择,可以通过按键进行选择。 设计采用音乐引入控制彩灯变化方式。用4×4矩阵式键盘作为按键输入,16×16的LED 点阵板作为彩灯输出。可以通过按键选择弹奏乐曲和播放乐曲两种模式。点阵板上彩灯的变化随着乐曲的不同而进行不同的变化。在播放过程中可以按键进行系统的停止和启动运行。同时也可以通过扬声器将音乐播放出来。 3、实现方案: 问题1:乐曲的发声原理 组成乐曲的2个基本要素是每个音符的发声频率值和它持续的时间,可以利用程序控制FPGA某个引脚输出一定频率的矩形波,便可以得到某个音符的音调,也可以再接上扬声器之后,就能发出相应频率的声音。同时,若能控制每个音符的持续时间,也就控制了乐曲的节奏,因此只需要控制输出到引脚的信号频率的高低以及每个信号持续的时间长短,即可实现乐曲的产生。 问题2:音符的获得方法和乐曲节奏的控制 所有不同的频率信号都可以从同一个系统基准频率分频而来,可以通过预置不同频率的音符信号,通过系统基准频率值计算得到分频系数,提供给之后的节奏使用。每个音符持续的时间是乐曲能连续播放的另一个要素。设全音符的持续时间为1s,则2分音符的持续时间为0.5s,4分音符的持续时间为0.25s。如果采用1MHz的频率作为系统基准频率,则

8路LED彩灯控制电路设计报告

《8路LED彩灯控制》课程设计报告 专业: 班级: 姓名: 学号: 同组成员: 指导教师: 2011年 1 月8 日

目录 一、课程设计目的 (3) 二、课程设计题目描述和要求 (3) 三、课程设计报告内容 (3) 3.1 器件选择 (3) 3.2 实验电路图 (3) 3.3 原理分析 (4) 3.3.1 脉冲源产生模块 (4) 3.3.2 定时器模块 (4) 3.3.3 寄存器模块 (4) 3.4 具体思路和设计过程 (4) 3.4.1 设计思路 (4) 3.4.2 具体设计过程 (5) 四、焊接与调试 (6) 五、总结体会 (6)

一、课程设计目的 随着社会的发展,人民生活水平不断提高,现代人们越来越注重节能和装饰,因此LED作为一种超节能产品在实际生活中得到广泛应用,它不仅工作电压低,而且使用寿命很长,所以它受到大多数灯光装饰师的青睐。本设计用8路led设计出的彩灯控制电路具有:低能耗、花色多、性能忧、实用美观等特点,实为家居装饰之首选。而具体的课程设计(包括电路图设计、电路板焊接、安装与调试),既可以提高我们的动手能力和实际分析问题能力,还有助于我们加深对数字电路的认识和了解,进一步激发学习的兴趣,为后续学习打下坚实基础。 二、课程设计题目描述和要求 本设计中的8路LED彩灯控制电路,由555定时器、移位寄存器、计数器等器件构成,主要功能是实现三种花色的交错变换,8路led灯的亮和灭组合起来形成有规律的花色,给人以美感,适用于彩灯装饰。 三.课程设计报告内容 3.1 器件选择 555定时器一片、十六进制计数器74ls191两片、双向移位寄存器74ls194两片、0.01uf的电容和2.2uf的电容各一个、47k电阻两个、非门74ls04一个、与非门 74ls20六个、导线若干。 3.2 实验电路图

节日彩灯控制器设计

石家庄铁道大学四方学院 集中实践报告书 课题名称 节日彩灯控制器设计 姓 名 吴春慧 学 号 20137762 系、 部 电气工程系 专业班级 方1353-2 指导教师 牛晓燕 2016 年 7 月 6 日 ※※※※※※※※ ※ ※ ※ ※※ ※※ ※ ※ ※ ※ ※※※※ ※ 2013级 单片机接口设计课程设计

一、设计任务及要求: 设计任务: 设计一个节日彩灯控制器,通过按钮控制彩灯的各种变化。 设计要求: 1.设计4个按键S0、S1、S2、S3, S0—开始按此键则灯开始流动(由上而下); S1—停止,按此键则停止流动,所有灯为暗; S2—上,按此键则灯由上向下流动; S3—下,按此键则灯由下向上流动。 2.由按键控制功能的流水灯,其中的LED采取共阳极接法,通过依次向连接LED 的I/O口送出低电平,可实现题目要求的功能。 3.要求做出实物。 二、指导教师评语: 三、成绩 指导教师签名: 年月日

目录 第1章设计目的 (2) 第2章设计要求 (2) 第3章硬件电路设计 (2) 3.1 系统结构框图 (2) 3.2 STC89C52单片机 (3) 3.3 总电路图 (4) 第4章软件设计 (5) 4.1 主程序设计 (5) 4.2 LED灯子程序设计 (7) 4.2 延时子程序设计 (7) 4.3 源程序 (8) 第5章结论 (10) 参考文献 (11)

第1章设计目的 1、掌握单片机实际系统的开发步骤。 2、熟悉节日彩灯控制器的工作原理。 3、加深对单片机汇编语言的认识和理解,并会编程。 第2章设计要求 1、设计4个按键S0、S1、S 2、S3, S0—开始按此键则灯开始流动(由上而下); S1—停止,按此键则停止流动,所有灯为暗; S2—上,按此键则灯由上向下流动; S3—下,按此键则灯由下向上流动。 2、由按键控制功能的流水灯,其中的LED采取共阳极接法,通过依次向连接LED的I/O口送出低电平,可实现题目要求的功能。 3、要求做出实物。 第3章硬件电路设计 3.1 系统结构框图 节日彩灯控制系统主要由单片机、LED显示器、开关及电源组成。其结构框图如图3-1所示。 八个LED灯显示器 开关单片机最小系统5V电源 图3-1系统结构框图

八路彩灯循环显示控制电路课程设计

目录 1前言 (1) 1.1序言 (1) 1.2目前彩灯的应用情况 (1) 1.3主要工作概述 (2) 2 总体方案设计 (3) 2.1方案比较 (3) 2.2方案论证 (4) 2.3方案选择 (4) 3.单元模块电路设计 (5) 3.1时钟信号发生器 (5) 3.2 序列信号发生器 (7) 3.3 移位输出显示电路 (13) 4软件设计 (16) 4.1Proteus仿真软件 (16) 4.2 Altium designer软件 (16) 4.3软件的设计结构 (18) 5系统调试 (19) 5.1脉冲信号发生器的调试 (19) 5.2序列信号发生器和以为输出显示电路调试 (20) 5.3整体电路的调试 (20) 5.4系统实现的功能 (21) 6设计总结与收获 (22) 7 参考文献 (24) 附录:彩灯显示控制电路原理图 (25)

说明书 1前言 1.1序言 由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用中,规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。因此用集成电路来实现更多更复杂的器件功能则成为必然。随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中。在大型晚会的现场,彩灯更是成为不可缺少的一道景观。小型的彩灯多为采用霓虹灯电路则不能胜任。在彩灯的应用中,装饰灯、广告灯、布景灯的变化多种多样,但就其工作模式,可分为三种主要类型:管做成各种各样和多种色彩的灯管,或是以日光灯、白炽灯作为光源,另配大型广告语、宣传画来达到效果。这些灯的控制设备多为数字电路。而在现代生活中,大型楼宇的轮廓装饰或大型晚会的灯光布景,由于其变化多、功率大,数字长明灯、流水灯及变幻灯。长明灯的特点是只要灯投入工作,负载即长期接通,一般在彩灯中用以照明或衬托底色,没有频繁的动态切换过程,因此可用开关直接控制,不需经过复杂的编程。流水灯则包括字形变化、色彩变化、位置变化等,其主要特点是在整个工作过程中周期性地花样变化。 本文所要设计的彩灯是用八个发光二极管代替的,能通过外部开关的操作,来实现彩灯亮点的左移、右移、全亮、全灭的效果。因此其会在越来越多的场合中使用,这使本设计具有很大的借鉴意义。这种控制电路可靠性,灵活性高,使用范围广,特别适合中小城市的交通灯、霓虹灯等的应用。而且,它对其他类似系统的开发具有一定的借鉴意义。 1.2目前彩灯的应用情况 LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。彩灯广泛应用于流水灯、跑马灯、鸳鸯戏水灯、流水灯、控制功能,并给出了具体的硬件电路和相应的程序。此课题设计具有很大现实意义,LED彩灯广泛应用于商业街广告灯,也可作为歌厅、酒吧照

音乐彩灯控制器课程设计

1. 引言 音乐彩灯控制器是用音乐信号控制多组颜色的灯泡,利用其亮度变化来反映音乐信号,一种将听信号转化为视信号的装置。该控制器具有渲染气氛的作用,增强人们欣赏能力。 2 设计任务与要求: 2.1任务: 1. 设计一种组合式彩灯控制电路,该电路由不同控制方法的彩灯所组成, 采用不同颜色的发光二极管作课题。 2.第一路为音乐节奏控制彩灯,按音乐节拍变换彩灯花样。 3.第二按音律的强弱(信号幅度大小)控制彩灯。强音时,灯的亮度加大, 且被点亮的数目增多。 4.第三路按音调高低(信号频率高低)控制彩灯。低音时,某一部分灯点 亮;高音时,另一部分点亮。 2.2要求: 1、综合运用电子技术课程中所学的理论知识完成课程设计。 2、通过查阅手册和文献资料,提高独立分析和解决实际问题的能力。 3、熟悉常用电子器件的类型和特征,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能。 5、进一步熟悉电子仪器的正确使用。 3 设计思路: 根据课题要求,可将控制器分为三部分来实现: (1)由于音乐的节奏是具有一定时间间隔的节拍脉冲信号。因此,可采用计数、译码驱动电路构成节拍脉冲信号发生器,使相应的彩灯按节奏点亮和熄灭。(2)将声音信号变成电信号,经过放大、整流滤波,来点亮彩灯,以实现声音信号强弱的控制。 (3)采用高、低通有源滤波电路来实现高、低音对彩灯的控制。 4 设计原理:

如图2.1所示,控制器包括声、电转换和放大电路、时钟脉冲发生器、记数电路和控制电路等。它控制四路彩灯伴随乐曲而闪烁发光。 拾音话筒控制MIC将乐曲声响转变为电信号,经VT1~VT4加至四路模拟开关IC3(CD4066)。555和RP1、R1、R2、D1、C1等组成无稳态多谐振荡器 t(通)=0.693(RP1+R1)C1 t(放)=0.693R2C1 T=0.693(RP1+R1+R2)C1 图示参数的振荡周期T在0.5~5秒范围内变化。555的3脚输出加至IC2作为CP脉冲.IC2采用CMOS型十进制计数器/脉冲分配器CD4017,在时钟CP作用下,Q0(3脚),Q1(2脚),Q2(4脚),Q3(7脚),Q4(10脚)相继出现高电平脉冲,而Q4加至复位端R(15脚),使电路成为一个环形计数电路。Q0~Q3的初期依次将CD4066四模拟开关选通,使乐曲信号依次加至VT5,VT6,VT7,VT8,并依次导通,SCR1~SCR4依次触发导通,彩灯插座依次有电,彩灯顺序点亮,随着悠扬的音乐,彩灯闪烁生辉。 图2.1 音乐彩灯控制电路

六路彩灯循环控制器数电课程设计

一.设计目的及要求 1.1 课程设计的目的 1 、巩固和加强《数字电子技术》课程的理论知识。 2 、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3 、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim 软件对电路仿真。 4 、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6 路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5 种演示花型: 花型1:6 路彩灯同时亮; 花型2:6 路彩灯同时灭; 花型3:6 路彩灯从左至右逐路点亮; 花型4:6 路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6 路彩灯右侧三个全亮,同时左侧三个全灭; 要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3 、花型4,花型5 、花型1 电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图

2.1题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个 74LS160,—个74LS42和两个74HC194这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2 题目设计 花型1,111111 ;花型2,000000 ;花型3,100000 ——010000 ——001000——000100——000010——000001;花型4,111000 ;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用 74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160 计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3结构框图

节日彩灯控制器设计

铁道大学四方学院 集中实践报告书 课题名称 节日彩灯控制器设计 姓 名 吴春慧 学 号 20137762 系、 部 电气工程系 专业班级 方1353-2 指导教师 牛晓燕 2016 年 7 月 6 日 ※ ※※※※※※※※ ※※ ※※ ※※ ※※※※※※※※ ※ 2013级 单片机接口设计课程设计

目录 第1章设计目的 (1) 第2章设计要求 (1) 第3章硬件电路设计 (1) 3.1 系统结构框图 (1) 3.2 STC89C52单片机 (2) 3.3 总电路图 (3) 第4章软件设计 (4) 4.1 主程序设计 (4) 4.2 LED灯子程序设计 (6) 4.2 延时子程序设计 (6) 4.3 源程序 (7) 第5章结论 (9) 参考文献 (10)

第1章设计目的 1、掌握单片机实际系统的开发步骤。 2、熟悉节日彩灯控制器的工作原理。 3、加深对单片机汇编语言的认识和理解,并会编程。 第2章设计要求 1、设计4个按键S0、S1、S 2、S3, S0—开始按此键则灯开始流动(由上而下); S1—停止,按此键则停止流动,所有灯为暗; S2—上,按此键则灯由上向下流动; S3—下,按此键则灯由下向上流动。 2、由按键控制功能的流水灯,其中的LED采取共阳极接法,通过依次向连接LED 的I/O口送出低电平,可实现题目要求的功能。 3、要求做出实物。 第3章硬件电路设计 3.1 系统结构框图 节日彩灯控制系统主要由单片机、LED显示器、开关及电源组成。其结构框图如图3-1所示。 图3-1 系统结构框图

3.2 STC89C52单片机 89C52本质是一种低耗高性能的微控制器。它的主要特性是拥有8K字节的程序存储空间;512字节的数据存储空间;带4K字节的EEPROM存储空间和可直接使用串行口下载。此外,它还是增强型的80C51单片机,有6时钟/机器周期和12时钟/机器周期可以任意选择,同时它的指令代码完全兼容传统80C51单片机。 89C52共有四个八位的并行双向口,即有32根输入输出口线。各口的每一位均由锁存器、输出驱动器和输入缓冲器组成。STC89C52芯片有40个引脚,引脚图如图3-2所示,各引脚功能介绍如下: 图3-2 STC89C52引脚图 VCC(40引脚):电源电压。 VSS(20引脚):接地。 P1端口(P1.0~P1.7,1~8引脚):P1口是一个带部上拉电阻的8位双向I/O 口。P1的输出缓冲器可驱动4个TTL负载。对端口写入1时,通过部的上拉电阻把端口拉到高电位,这是可用作输入口。P1口作输入口使用时,因为有部上

彩灯控制电路

目录 第一章设计目的- 2 - 第二章功能设计要求- 3 - 第三章设计方案及基本组成和原理- 3 - 第一节彩灯电路的组成框图 ........................... - 4 - 第二节各单元电路的工作原理 ......................... - 5 -一编码发生器.................................... - 5 - 二振荡器........................................ - 6 - 三控制器........................................ - 7 - 四移位寄存器.................................. - 10 - 五时钟信号电路................................. - 12 -第三节总电路原理 .................................. - 13 - 第四章元器件清单- 13 - 第五章设计总结与体会- 14 - 第六章参考文献- 16 - 附录(一).简易彩灯控制电路器总电路图- 17 - 摘要: 绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制电路主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的简易彩灯控制器是由时钟信号电路,74LS194双向移位寄存器,D触发器等组成。每个模块又由不同的集成电路组成。它是利用几种芯片来控制不同数量而有规律的彩灯的亮灭。主要由555振荡电路产生时钟脉冲信号,同时通过74HC74、双D触发器组成的电路来控制彩灯亮灭的顺序,从而实现彩灯四种花型自动切换。在设计中,我把所学的数字电路和模拟电路的知识进行有机的结合,并采用了软件进行电路的绘画。 [关键词]:时钟信号电路 74LS194双向移位寄存器 D触发器 SN74LS138D。 第一章 设计目的 一熟悉工程实践中电子电路的设计方法和规范,达到综合应用电子技术的目的。二学习文件检索和查找数据手册的能力。

音乐彩灯控制器(完整版)

摘要 电力电子技术产业作为当代高新技术尤其是信息技术产业与传统产业的接口,在国民经济中扮演着越来越重要的角色。此设计论述了彩灯的总体控制,彩灯将会随着音乐的节奏闪亮,大大的改善了人们的娱乐环境,人们将在音乐和灯光当中消除工作一天的疲惫,并且彩灯的控制不需要人为的操作控制,将会完全自动的运行,使人们感受到了娱乐场所的智能化,人性化。此设计采用了平时常用的集成电路,包括时钟电路、阶梯波电路、滤波器等等,将会很清晰的呈现出它的工作原理,它是电子技术的实例应用。关键词:电子技术音乐彩灯集成电路。II Abstract As the joint between the present hi-Tech industry especially the informationtechnology industry and tradition industry, power electronics industry has been playing amore and more important role in the country economy. Although this industry in Chinahas made some progress through developing more than 30 years, compared with thedeveloped countries the gap is still quite big. This industry can"t meet the demands of thecountry economy development in china. Constituting a series of systemic and scientificdeveloping strategy to speed this industry is the purpose of this paper. The importanteffects of this industry on country economy are discussed, the shaping and developingcondition of this industry is analyzed, and the advantages and disadvantages of thisindustry in China are pointed in this paper. On the basis of referring the advancedexperience of developed countries. keyword: electronic technique music illumination unicircuit

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

相关文档
最新文档