指纹识别系统设计

指纹识别系统设计
指纹识别系统设计

基于FPGA的指纹识别系统设计

第一章绪论

1.1 设计背景

生物识别技术是利用人的胜物特征进行身份认证的技术, 人的指纹就是生物特征之一。此外, 生物特征还包括虹膜、视网膜、声音和脸部热谱图等。指纹识别是生物识别技术中最为成熟的, 其唯一性、稳定性, 一直都被视为身份鉴别的可靠手段之一。

由于最早的指纹识别技术仅仅依靠人工对比,工作效率低下、比对正确率低、对比对人员的要求高,从而使得指纹识别技术无法得到广泛应用。但随着计算机的出现及其运算速度的迅速提高,使指纹对比鉴定的应用发生了革命性的变化。使用计算机管理指纹数据库,极大提高了指纹对比的速度,同时由于计算机比对算法的不断改进提高,使指纹比对误识率已降到了10 - 6 以下,不仅可以满足刑侦方面的需要,而且迅速进入了更多的应用领域。

随着光学技术和光学仪器加工工艺的进步,各种采集指纹图案进行身份认证的系统和设备中需要配备的高清晰、无畸变光学采集仪也达到了很高水平,确保可以生成高质量的指纹图像。计算机运算速度的提高和计算机小型化的进展,使采用微机甚至单片机也可以进行指纹对比运算成为可能。现代电子集成制造技术使得我们可以生产出相当小的指纹图像读取设备和指纹识别模块。其成本下降得也很快,大大加快了指纹识别技术的推广速度。

同时人们对消费类产品的要求越来越趋向于小型化,并且对可携带设备的安全性要求也与日俱增。传统的PC、MCU、或者DSP的处理平台移动性比较差,体积比较大,无法满足人们日益增长的需求。所以,设计一套体积比较小、速度更快的嵌入式指纹识别系统是非常有意义的。

而本设计正是为了这一目的,选用具有高集成度、低功耗、短开发周期的FPGA来完成此项设计,以实现系统的ASIC为研究背景,具有很强的现实意义和广阔的市场空间。

本系统采用xilinx公司Spartan 3E系列FPGA作为核心控制器件,这款器件采

用90ns的先进工艺,最大容量50万门,可支持32位的RISC处理器,具有128 Mbit 并行Flash,足以满足设计的要求。该项目利用嵌入式软核实现系统的管理,利用硬件实现识别算法,保证了系统功能的完整性与识别的正确性。而识别算法我们采用美国FBI推荐的特征点匹配算法来实现指纹的识别,更保证了系统的实现。

1.2课题目标和研究内容

1.2.1 课题目标:

以FPGA作为核心控制器件,实现指纹图像的采集、存储、处理和比对等,完成对指纹图像的有效识别。

1.2.2 研究内容:

本系统采用xilinx公司Spartan 3E系列FPGA作为核心控制芯片,通过富士通公司的MBF200指纹传感器实现对指纹图象的采集,利用SPI接口传输到FPGA进行数据的存储,利用内嵌的MicroBlaze处理器对指纹图象进行灰度滤波、二值化、二值去噪、细化等预处理,得到清晰的指纹图象,再从清晰的指纹图象中提取指纹特征点并存入指纹数据库作为建档模版。指纹比对时,采用同样的方法,得到比对模版,然后将比对模版与建档模版利用指纹识别算法进行比对,得出比对结果。该项目利用嵌入式软核实现系统的管理,利用硬件实现识别算法,保证了系统功能的完整性与识别的正确性。该识别系统可用于门禁、考勤、证件管理等很多方面,具有很广泛的应用前景。

1.3 研究意义

生物识别技术越来越多地被应用于身份验证领域。指纹因其惟一性、终生不变性和较低的识别成本而成为目前使用最广泛的生物识别技术,在罪犯识别、社会保险、电子商务、信息安全等领域得到广泛的应用。

便携式的指纹识别技术对我们的生活也具有深远的意义。例如,今后警察可在一个犯罪高发区截住一名嫌疑人,要求其提供指纹而不是身份证或汽车驾照。此人则将其右手的第一、二或第三个手指置于一个与无线PDA相连的传感器上,可以迅速将嫌疑人与以前的犯罪记录进行对比确认。

这种识别技术对于被盗的手机用户也有好处。手机开机时要求用户通过一个快速的认证过程,用户将其手指划过传感器,如果通过认证则授权使用手机的各项功能。如果不是授权用户,手机便继续保持锁住。如果连续几次认证无

法通过,则手机会删除存储器中的关键信息然后关机。

在语音邮件的应用中,当拨出一个语音邮件号码后,用户只需将手指划过传感器便可令系统识别。有了指纹识别后,便无需使用邮箱密码或个人识别号码。

在今后的汽车应用中,用户可输入家庭成员指纹样本,经鉴权才能驾驶。注册过程十分简单:每个授权驾驶的成员将其手指置于传感器上,并将汽车的各种参数按个人爱好进行设置,然后将这些设置存入车载的电脑存储器中。

当驾驶者进入汽车时,他/她将手指置于传感器上,启动识别过程。不到一秒钟,电脑将检测到的指纹模板与存储的模板进行比较,并建立一个与驾驶者相符的相关设置。指纹模板和匹配软件保存在汽车内的一个嵌入式模块中。当指纹匹配成功时,汽车便按已编程设定的内部参数来控制后视镜、汽车座椅、无线基站以及车内空气环境。此外,还可控制驾驶速度,如果驾驶者仅为十来岁的孩子,则将速度限制在每小时55公里。这些功能的实现具有非常多的用处。

可见,指纹识别技术的应用可以使我们的生活更加方便快捷,更加安全。同时本项目重点研究基于FPGA的指纹识别系统,利用FPGA高集成度化,低功耗,短开发周期等优点,实现系统的ASIC,具有更加深远的意义和广阔的市场商机。

第二章系统总体设计

2.1 硬件框图及各模块介绍:

系统采用xilinx公司Spartan-3E 系列FPGA作为核心的控制和运算芯片,数据采集模块由富士通公司的MBF200电容式指纹传感器来完成,MBF200指纹传感器可以完成指纹图像的采集并用其自带的A/D转换器将指纹图像转换成数字信号,利用SPI接口传送至FPGA进行存储。由FPGA处理采集来的数据,由于FPGA内部存储空间太小,无法存储一张完整的指纹图像,所以将指纹数据暂时存储在SDRAM中。当处理图像数据时,FPGA通过读取SDRAM的指纹数据,并在其逻辑单元中进行滤波、灰度归一化、二值化、细化和特征值提取等操作,从而获得重要的指纹图像信息。

系统操作时首先利用键盘选择指纹存储模式,将获得的指纹图像信息存储到FLASH中作为指纹数据库,然后切换到指纹识别模式,再利用上述方法获得指纹图像信息。通过FPGA调用指纹数据库中的数据,寻找与之匹配的指纹信息,最后将比对结果在LCD中显示。本系统还可利用RS232与计算机进行通讯,可以方便扩展,使得系统可以应用到更多的领域。

系统框图如下图1。

图1 系统框图

2.2 项目关键技术及创新性: 2.2.1 传感器的选择

目前,市面上存在很多种类的指纹传感器,应用最广泛的有光学、电容、电磁波、压力、微电机和热学指纹传感器。而本系统采用美国富士通公司的MBF200电容指纹采集传感器来实现对指纹图像的采集,该款指纹传感器采用标准的CMOS 技术,含有8位A/D 转换器,工作在3.3V ~5V 的宽电压范围,能自动检测是否有指纹到达传感器,并实现在线采集,它的最大优点是在保证指纹图像高分辨率(500dpi)的同时大大减少了传感器的尺寸。利用此传感器可以完全满足系统的设计任务。 2.2.2 指纹图像的预处理

图像预处理的主要步骤包括:灰度值归一化、图像分割、滤波、图像增强、二值化、细化等。预处理的目的是改善输入指纹图像的质量,以提高特征提取的准确性。原始指纹图像一般存在噪声污染、脊线断裂或脊线模糊等问题,需要进行图像增强(使用滤波技术)以改善质量。由于指纹特征仅包含在脊线的形状结构中,所以,通过归一化、二值化和细化把深浅不一、宽度不同的脊线变成灰度相同、单像素宽的细脊线,以便于特征提取。 (1) 图像归一化

归一化处理是对原始指纹灰度图像上每一象素点的一种操作,人为改变它的灰度值,消除指纹录入传感器表面的残留噪音以及手指按压力差异对指纹图像的影响,把图像分为w w ?的子块,对于每一子块求其灰度均值Mi 和方差Vi 。子块灰度均值和方差的计算按如下公式:

1

1

1(,

)w w

x y Mi I x y w w

===

?∑∑ (1)

2

11

1[(,)]w w

x y Vi I x y Mi w w ===-?∑∑ (2)

其中I (x ,y )为子块中象素(x ,y )的灰度值,子块归一化的目的是调整均值和方差到一个期望的范围,如果用G(i ,j)表示(x ,y )点的归一化后的值,那么第I 个子块归一化后灰度值为:

00,(i j (,)i j I M M G i j M M ?

?+??

=?

?

?-??

若,);

I(,);

(3)

如果:0M M ,

则把灰度值为0M 全部归0作为背景处理,这样可以有效地去除传感器表面残留纹印引入的噪声。其中0M ,0Var 均为期望的均值和方差,M 为实验后得到的门槛值,(,)I i j Mi -为像素点的灰度幅度,经比率调制后,加到期望的均值上。使得原来方差大的点归一化后幅度更大,反之相反;而灰度值大或者小于均值的点,归一化后继续大或小于期望均值,而且归一化为点操作,不会改变指纹的纹理结构。 (2)图像分割

在采集到指纹图像后,首先要进行指纹图像分割,即把图像分割为指纹区与非指纹区,目的是割除白背景区和背景区,保留前景区,尽可能保留模糊区中能恢复的部分,以便后续处理能够集中于有效区域,这不仅能提高特征提取的精确度,还能大大减少指纹预处理的时间。目前常用的指纹图像分割的一般方法是:一种是基于图像灰度特性的灰度均值分割,这种分割容易把有效部分割除掉,而把用力重的模糊不清的区域保留;另一种是基于灰度方差的阈值分割,这种单一分割方法不适合低对比度的图像;第三种是基于指纹纹理的方向性,利用方向图对指纹图像进行分割。这种方法的分割效果依赖于所求点方向图及块方向图的可靠性,对图像灰度的对比度的高低并不敏感。但是对于指纹不连续、单一灰度等难以正确估计的区域及中心、三角附近,方向变化剧烈的区域,方向图分割则难以取得令人满意的效果。

我们将指纹图像分为前景区、背景区、模糊区和白背景区,其中白背景区是指指纹图像中不含指纹纹线的边界区域,这类区域也是应该包括在割除的区域,所以为了能正确划分这4类区域,更准确地割去白背景区和背景区,并保留前景区和模糊区中可恢复的区域,把整个分割过程分为3级,分割的基本单元为

88?大小不相交叠的块。第一级分割为白背景区分割,第二级分割为模糊区分割,

第三级分割为背景区分割,白背景区灰度均值很低,而且由于没有纹线峰和谷的变化,方差也很小,因此白背景区的判断采用:

(1) 块灰度均值:

1515

00

(8,8)

88

i j I i m j n mean ==+?+?=?∑∑

(2) 块灰度方差

1515

2

00

((8,8))

var 88

i j I i m j n mean iance ==+?+?-=

?∑∑

第一级分割的判决为:当mean 小于预定义的阈值T1且variance 也小于预定义的阈值T2即同时满足上述两个条件时,该块为白背景块,删除掉。

第二级分割的判决区域为1级分割后保留下来的非白背景区域,其目的是找出模糊区,其判决方法为:设定特征量:1212

,t t contrast

Z contrast mean n n =

=-其中 1n :表示块中灰度值大于或等于块灰度均值的点数; 2n :表示块中灰度小于块灰度均值的点数;

1t :块中灰度值大于或等于块灰度均值的所有点灰度之和; 2t :块中灰度值小于块灰度均值的所有点灰度值之和。

当Z 小于预定义的阈值T3时说明该块为墨迹很浓,峰和谷被墨迹粘连混淆的区域应去除。

第三级分割设定特征量1var mean

contrast iance

=

,当对比度contrast1的值小于预

定义的阈值T 时,将其设定为背景区域;否则,作为前景区域,保留其灰度值,以作后续处理。

(3)指纹图像二值化

二值化,就是把灰度图像转变为黑白相间的二值图像,通过设定一个全局阈值对增强后的指纹图像转变为用0、1两种灰度表示,0代表脊线,为黑象素,作为前景。1代表谷线,作为白象素。处理方式如下:

1;(,)(,)0;(,)f i j T

f i j f i j T

>?=?

由于采集到的指纹图像不同区域深浅不一,如对整幅图像使用同一阈值进行二值分割,会造成大量有用信息的丢失。这里我们使用自适应局部阈值二值化的思想,既将图像分割成多个小块,对每个小块进行分别计算,局部阈值的选取参考局部块的各个像素,而且选取的阈值应尽量使该块图像内大于该阈值的像素点数等于小于该阈值的像素点数,这样可以使阈值具有自适应性,不会使大量有用信息丢失。

自适应局部阈值二值化的流程图如图2:

图2 自适应局部阈值二值化流程图

图2中T为该块指纹图像的平均灰度值Nh、Nl分别为第(k,l)块指纹图像中灰度值大于等于T和小于T的像素点数,δ= w ×w ×10 % ,w 是分块尺寸(像素) 。

(4) 图像的细化

细化是把清晰但纹线粗细不均的二值指纹图像转化成线宽为一个象素的条纹中心点线图像的过程,以便后续的特征提取过程能精确定位。细化算法中的OPTA算法满足收敛性、连续性、拓扑性和保持性,有较好的细化效果。但该算法在三叉点处不能完全细化,且细化后的图像不够平滑,毛刺较多,扭曲较大。

针对其算法的不足进行改进,重新构造模板,采用统一44?模板,左上角33?区 域为消除模板区域。

图3:指纹图像消除模板

图4 指纹图像细化保留模块

保留模板和消除模板如图3、4所示,1表示前景区,0表示背景区。细化时,对每个像素抽取其临域所需的像素点。先依次与消除模板比较,如果与任意一个都不匹配则保留,否则删除。整个改进算法克服了原算法的不足,有效清除了毛刺,对指纹图像能进行充分细化。 2.2.3 指纹图像特征提取

本设计结合细化图像的特点,提出了一种基于非彻底细化图像的指纹细节提取算法。在不对纹线做任何修复处理的情况下,在细化指纹图像上直接提取原始细节特征点集,得到初步的特征提取结果;然后分析图像中存在的各类噪声及其特点,结合指纹细节特征点固有的分布规律和局部纹线方向信息,针对不同的噪声采用针对性算法,并利用伪特征点在数学形态学上的分布规律,将各类噪声引起的伪特征点分别予以删除,而将最终保留的特征点集作为真正特征点的集合。改进的细节特征提取算法的具体思想如下: (1) 原始细节特征点集的提取

首先在细化指纹图像上直接进行细节特征提取,得到原始的细节特征点集P1和P2,其中P1 为纹线端点集合,P2为分叉点集合。这些点集中可能含有大量

的伪特征点需进一步处理。

(2) 短线与纹线间断的删除

对细节特征点集P中任意两个纹线端点i1 ∈P1,i2 ∈P1 , 如果它们之间的距离(像素点数) 小于D1 ,且Δθ≈0,则认为该两点为伪特征点,予以删除。(3) 小孔的删除

对细节特征点集P中任意两个纹线分叉点j 1 ∈P1 , j2 ∈P2,如果它们之间的距离(像素点数) 小于D2,则认为该两点为伪特征点,予以删除。

图5 MBF200内部结构

其中256x 300点传感阵列用于产生感应电压,功能寄存器用于对芯片进行操作控制,控制电路用于传感器与外部接口电路的控制,主要负责数据的读出与写人,地址索引寄存器与数据寄存器分别用于对功能寄存器的地址选择及数据的读写,采样保持及AD转换电路用于对传感阵列所产生的电压进行采样。

本设计采用的是SPI接口模式传输只用到MOSI,MISO两个接口进行数据的读取。

MBF200的256X300传感器阵列每一列有两个采样保持电路,每次捕获一行指纹图像数据。行捕获分为两个阶段,第一阶段,将电容板的被选行充电到3.3V 或5V,在充电的同时,一个内部信号使能一个采样保持电路以采样被选行的电容单元电压;第二阶段是传感器板放电阶段,放电快慢由放电电流寄存器决定。放电阶段结束后,可由一个内部信号使能另一个采样保持电路去采样电容单元的最后电压,充电电压与放电电压之差就是所要测量的有用传感信号电压。行捕获结束之后,接着对该信号进行数字化,从而完成一次采样。实际上,该芯片的灵敏度是由放电电流和放电时间寄存器来调节的。在实际应用当中,可以通过给MBF200内部控制寄存器赋值,来调整指纹图像的清晰程度。

3.2 Spartan-3E开发板介绍

Spartan-3E开发板的主要特征如下:

1) 多达232个用户I/O口,320个FPGA封装管脚超过10000个逻辑单元。

2) 4Mbit的Flash 配置PROM。

3) 64个宏单元的XC2C64A CoolRunner CPLD。

4) 64 M Byte (512 Mbit) of DDR SDRAM, ×16 数据接口, 100+ MHz。

5) 16 M Byte (128 Mbit) of 并行NOR Flash (Intel StrataFlash):FPGA配置存储,MicroBlaze代码存储/映射。

6) 16 M bits of SPI serial Flash (STMicro):FPGA配置存储,MicroBlaze代码存储/映射。

7) 16字符-2线式LCD显示屏。

8) PS/2鼠标或键盘接口,VGA显示接口。

9) 10/100以太PHY(要求FPGA内部具有以太MAC)。

10) 2个9-管脚的RS-232端口(DTE和DCE两种类型)。

11) FPGA/CPLD下载/调试USB接口。

12) 50Hz时钟晶振。

13) 1线式的SHA-1位流复制保护串行EEPROM。

14) Hirose FX2扩展连接口。

15) 3个管脚扩展连接器。

16)4个SPI-DAC转换器输出管脚。

17) 2个SPI带可编程增益ADC输入管脚。

18) ChipScope?软件调试接口。

19) 带按钮的旋转编码器。

20) 8个单独的LED输出。

21) 4个滑动开关,4个按钮开关。

22) SMA时钟输入。

23) 8管脚插槽辅助晶振。

3.3 开发环境介绍

本设计采用的开发环境有Xilinx的集成软件环境ISE和32位嵌入式处理器集成开发环境EDK,两者配合适用,本设计中我们用EDK在FPGA内部嵌入一个32位处理器,然后将此处理器作为一个子模块,在ISE中引用此子模块,来完成整个设计。在这里简要介绍ISE和EDK开发环境。

ISE是Xilinx公司CPLD,FPGA的集成开发软件,它提供给用户从设计输入到综合、布线、仿真、下载的全套解决方案,方便与其他EDA工具借口。通过新的局部时钟布线功能,支持再200Mhz以上的高速存储器接口。同时还提供

Red Hat Linux的支持、高速设计能力、易于使用的布局规划和引脚管理增强特性。这些特性结合起来极大的缩短了客户的总体设计周期和设计成本。

与Foundation相比,ISE除了增强新器件支持和优化性能外,有如下一些方面的改进:

(1)XST和Synplify流程均可以支持语言的混合输入。

(2)在Process窗口可以直接选择添加或创建新的设计文件。

(3)便捷的库元件信息查询。使用原理图编辑器时,在Symbols栏中选中一个库元件,单击Symbol Info按钮,系统就会从在线文档中找到并显示该元件的详细信息

(4)把波形编辑器Testbencher集成到Project Navigator中。

(5)支持第三方的文本编辑器(如UltraEdit、CodeWright等)。

其中,原理图输入可使第三方软件ECS,HDL综合可使Xilinx开发的XST, Synopsys的FPGA Express和Synplicity的Synplify/Synplify Pro,测试台输入可使用图形化的HDL Bencher,状态图输入可使用StateCAD,前、后仿真则可使用Modesim XE(Xilinx Edition)或Modelsim SE。一般在Synplify中建立工程、输入文件和综合,在Modelsim中新建工程并作仿真,用ISE的Design Manager,再运行JTAG编程器下载。

第四章 硬件设计

4.1 指纹采集模块

本设计中采用的是富士通的MBF200指纹传感器,MBF200硬件框图如图6所示,采用SPI 模式,所以MBF200与FPGA 只通MISO ,MOSI ,/S/C/S ,SCLK 四个端口相连接。

/S/C/S 为MBF200的使能端,SCLK 为MBF200的系统时钟,当需要采集指纹信号时,FPGA 向/S/C/S 发送低电平,则MBF200开始工作。FPGA 通过MOSI 向MBF200发送控制命令,控制MBF200的数据输出方式及传输模式。 VDD[3:1]为数字电源输入,VDDA[2:1]为模拟电源输入,VSS[3:1]为数字地,VSSA[2:1]为模拟地,所以如图所接。而为了防止数字信号对模拟信号的干扰,用10欧电阻隔开。并且数字电源输入与相应的数字地之间均接有电容用来阁除非直流信号。为了防止数字地与信号地之间的干扰,本设计采用0欧电阻隔离。0欧电阻相当于很窄的电流通路,能够有效地限制环路电流,使噪声得到抑制。电阻在所有频带上都有衰减作用(0欧电阻也有阻抗),这点比磁珠强。

VDD

VDD

2

10

R6

图6 MBF200硬件连接电路

MODE[1:0]引脚是用来设定MBF200所用接口模式的,在本设计中,置MODE[1:0]为01,选定SPI传输模式。

在SPI模式当中,AIN,ISET,FEST三个接口都不会用上,但根据MBF200内部电路结构,最好接电阻与地相接。

4.2 指纹数据处理模块

由于指纹数据处理涉及很多算法,运算量大,所以本系统在Spartan-3E开发板上嵌入一个Microblaze软核来进行数据处理,首先将SPI口传输进来的数据送人SDRAM进行存储,开发板上带有32M的SDRAM,完全可以存储很多张指纹数据。在创建指纹数据库时,系统从SDRAM中取出数据,放入Microblaze 进行处理,再将处理后的指纹数据信息存入FLASH组建指纹数据库。在比对模式时,利用Microblaze进行数据处理后的数据扔存入SDRAM,然后从FLASH 和SDRAM分别提取指纹图像特征数据进行比对,得出比对结果。

4.3 指纹数据存储模块

Intel StrataFlash

图7 FLASH输入存储模块硬件连接图

strataFlash与FPGA管脚连接图如图7所示。

CE[2:0]为strataFlash使能信号输入端口当只用一个片子时,CE[2:1]接地只用CE0控制。

由于在本设计中,FPGA与FLASH之间的数据传输D[0]与FPGA对MBF200的控制线MISO复用,所以从FLASH里取数据与控制MBF200产生冲突,时序不好控制,所以本设计中将会给BYTE#置高关闭A0,将strataFlash置于X16模式。

D[7:0]在写模式下,传送控制命令在写CUI时,在缓冲器写和编程模式下。在读模式下,D[7:0]发送CFI、数据阵列和标志数据。状态寄存器的数据将不通过这8位传输。

VPEN是锁定使能输入,当VPEN

图8 FPGA从FLASH中读取数据信息的时序图

单字读时序图如图8所示,FPGA使能读FLASH后,发送所要读取数据的地址,OE#置低使能FLASH输出,经过输出延时R4,由DATA[D/Q]输出数据。

要向控制寄存器CUI中写入控制命令时,置WE#为低使能,下降沿有效。一个写控制可以用CEX或者WE#之中的任何一个控制它的开始和终止。

4.4 RS232通信和键盘操作模块

RS232通信和键盘操作均可从EDK 9.1i 开发软件同样可以获得MicroBlaze 控制器的IP核,然后直接将PC与Spartan-3E通过串口连接,键盘可以连接至Spartan-3E PS2口。

第五章软件设计

5.1 MBF200初始化

MBF200具有19个控制寄存器,这些控制寄存器的地址、标识、及功能简介如下表。

表 1

MBF200的初始化主要是对这些控制寄存器赋初值,CTRLB必须在程序的最开始对它的位0和位2置“1”,以使能MBF200,CTRLB的位1也应置为“1”。

使能MBF200内部震荡时钟。

对PGC、DTR、DCR置初值需要调试,这三个寄存器决定了MBF200得到的指纹图像的清晰程度,图像细节。可以采用多次赋值,试验得出PGC、DTR、DCR的初值。本设计经过初步调试得出初值PGC "00000110 ",DTR "00111111",DCR "00000001"。

对于门限寄存器THR赋初值“00101100”,ISR赋“00000011”清空中断,

ICR赋“01011001”使能自动检测。

5.2 指纹数据采集软件实现

MBF200在SPI接口模式下,工作流程如下:

(1) 上电,初始化MBF200,具体寄存器数值如上节所述。

(2) 拉低/S/C/S使能,FPGA由MISO发送0x02信号,使能MBF200进入写状态。FPGA发送要写入寄存器的地址,发送完毕后发送需要写入的数据。拉高/S/C/S停止MBF200接受或输出数据。等待FPGA的下一个使能。

MBF200获取指纹图像分为两个步骤,写CTRLA,传感器获取指纹图像并A/D转换,存至存储器中。读CTRLA,存储器中的数字信号锁存在MOSI 管脚上,等待时钟到来传送数据。

写0x02至CTRLA寄存器,获取整幅指纹图像数据,如果需要获取子图像,按上步骤置RAH,RAL,CAL,REH,REL,CEL。FPGA下一个使能时。

(3) 在本设计中,写入0x03至CTRLA,拉高/S/C/S,等待传感器获取图像并进行图像转换。大约延迟A/D转换一行图像数据的时间,FPGA拉低/S/C/S,发送0x03。MBF200进入读模式,发送CTRLA地址,做读CTRLA操作,MBF200开始通过MOSI发送一行指纹数据。

(4) 可以通过判断接收到数据的数量判断一行数据是否接受完毕。如果没有完毕,继续接收,完毕则进行下一行接收。同样最后通过接收到数据的数量判断一幅图像是否成功发送。

在MBF200和FPGA互相数据传输通过一个SPI控制模块完成,在本设计中,采用Verilog编程实现,定义了三组状态机,完成状态跳变的。

//状态机

parameter idle=5'b00001,write_cmd=5'b00010,write_adr=5'b00100,

write_data=5'b01000,read_data=5'b10000,

shift7=3'b111,shift6=3'b110,shift5=3'b101,shift4=3'b100,

shift3=3'b011,shift2=3'b010,shift1=3'b001,shift0=3'b000,

shift07=3'b111,shift06=3'b110,shift05=3'b101,shift04=3'b100,

shift03=3'b011,shift02=3'b010,shift01=3'b001,shift00=3'b000;

MBF200的读写两个状态分成WRITE,READ。其中又分写命令,写地址,读数据几种状态。所以定义了write_data,write_cmd,write_adr,read_data四个状态机。

传输数据的难点在于SPI模式输入要将并行数据转换为串行模式,输入又要将串行数据转换为并行。在本设计中,用两组状态机,和两个移位task来分别实现。具体程序见附录1。

5.3 指纹数据存储软件实现

本设计中,数据采集入FPGA后,由于FPGA内部RAM太小,所以必须临时存储至开发板自带的512MbDDR SDRAM中。

当SDRAM芯片开始上电的时候,时钟信号也要相应给出,SDRAM的第一个命令必须在时钟稳定后的100us才能给出,在此期间所有控制信号处于无效状态。一般在上电过程中需设置MR,主要包括突发长度、突发类型、CAS延迟等信息在MR存储信息当中,CAS代表的时间事当列地址给出后,SDRAM需要多少个时钟周期才能在数据线上给出数据。突发读写长度是指在行地址、列地址分别给出后,SDRAM可以在不连续提供地址的情况下,最多可以读多少数据。

SDRAM上电后使用前必须要经过一段初始化操作才可以使用。这个操作过程是标准的过程。这个过程如下

A: 预充电

B: 自动刷新

C: 装载寄存器

D: 读写

之后就是要设置SDRAM的模式寄存器,这个寄存器里一般设置了burst长度,CAS,burst类型,操作模式,还有是设置SDRAM是工作在单个读写操作还是burst操作下。而这个寄存器的设置也是通过地址线来设置的,所以在发出Load Mode Register命令后要做一个操作可是使得在SDRAM的地址线上出线的值就是你要设置的值。

5.4 指纹图像预处理软件实现

5.4.2 指纹图像的规一化

图9 指纹图像归一化流程图

系统设计报告模板范文

系统设计报告模板

CRM系统设计 1. 功能模块划分及描述 1.1系统功能模块结构图 1.2系统功能模块描述 2. 系统配置设计 3.系统流程图设计 4. 代码设计 5. 数据库设计 5.1概念结构设计 5.2逻辑设计 6. 系统模块设计

1. 功能模块划分及描述 客户关系管理系统是一个典型的数据库开发应用程序,由客户管理模块、库存管理模块、服务管理模块、报表管理模块、email管理模块、用户管理模块组成,系统功能模块及描述如下。 1.1系统功能模块结构图 图1 系统功能模块结构图 1.2系统功能模块描述 1、客户管理模块 该模块主要功能是对客户信息、客户联系人信息、合同信息进行添加、删除、查询等操作。

2、库存管理模块 该模块的主要功能是管理入库、出库信息、产品信息进行管理,其中包括对库存信息、产品信息进行添加、删除、查询等操作。 3、服务管理模块 该模块主要功能是对客户反馈信息进行添加、删除、查询等操作。 4、报表管理模块 该模块主要经过查询条件,对各种信息进行查询,并将得到的结果导出Excel表、进行打印报表等操作(其中信息包括:客户信息、联系人信息、反馈客户信息、库存信息)。 5、邮件管理模块 该模块主要管理客户联系人email地址信息,对企业客户之间的email文件进行管理,向客户发送邮件。 6、用户管理 该模块主要管理用户信息的添加、删除等操作,并设置用户的使用权限。 2. 系统配置设计 硬件平台: CPU:P4 2.8GHz; 内存:2GB以上。 软件平台:

操作系统:Windows xp/ Windows 7/ Windows ; 数据库:SQL Server ; 浏览器:IE6.0,推荐使用IE8.0; Web服务器:IIS5.0; 分辨率:最佳效果1024*768。 3.系统流程图设计 系统流程图又叫事务流程图,是在计算机事务处理应用进行系统分析时常见的一种描述方法(另一个是数据流图),它描述了计算机事务处理中从数据输入开始到获得输出为止,各个处理工序的逻辑过程。 根据需求分析的要求对系统进行设计,系统流程图如图2:

指纹识别系统

指纹识别系统 1.1 指纹识别系统原理 指纹识别系统的组成原理。如图1-1所示。图中的学习模块负责采集用户指纹数据,对指纹图像进行预处理,提取这些指纹的特征,作为将来的比对模板存人数据库。而识别模块则负责采集和处理指纹图像,在提取特征后与数据库中的指纹模板进行比对,然后判断是否匹配.得出结论。整个系统的核心就是图像处理、特征提取以及指纹比对。 图1-1 1.2 指纹采集与指纹图像处理方法 目前,主要的指纹采集方法有两种:一种是光学采集器;另一种是用半导体传感器。光学采集器采集指纹是通过把手指沾上油墨后按在白纸上,然后用摄像机把图像转换为电信号。光学采集受外界干扰小、采集精度较高,但是数据量较大,因此处理时问较长。而对于半导体传感器来说,手指的温度、湿度对其测量结果有影响,但是数据量不大,处理比较方便。随着半导体技术的发展,半导体传感器的成本低、体积小、方便集成等优点逐步体现,它已逐步代替光学采集器。指纹鉴定过程的第一个阶段是指纹图像的采集阶段,也就是指纹模板的录A阶段。为了初步确定图像预处理方法,我们必须首先了解指纹传感器获得的图像的尺寸和质量。根据不同的指纹传感器,我们设计不同的方案进行图像采集,并将从各个图中提出特征点储存到数据库中,来产生“活模板”,为后面的指纹鉴定做准备。 指纹图像处理是整个指纹识别过程的核心。常见的指纹图像处理包括滤波增强、二值化、细化、提取特征点四个步骤。在采集指纹图像的过程中,由于采集环境,皮肤表面的性质,采集设备的差异等各种因素的影响,采集的图像会不同程度的受到各种噪声的干扰,从而影响了采集图像的质量。所以实际的指纹图像首先通过一个滤波增强来改善图像的质量,恢复

基于TMS320VC5501和DSP_BIOS的指纹识别系统设计

收稿日期:2009-11-23 作者简介:刘慧英(1956 ),女,陕西西安人,教授,主要从事智能控制理论与智能交通系统的研究;李飞(1982 ),男,硕士研究生,研究方向为控制理论与控制工程。 基于T M S320VC5501和DSP /BI OS 的 指纹识别系统设计 刘慧英,李 飞,宁 飞,傅 磊 (西北工业大学自动化学院,陕西西安 710129) 摘要:针对目前嵌入式指纹识别系统设计的不足,提出了基于单DSP 处理器结构的指纹识别设计方案。系统硬件采用TMS320VC5501作为处理核心,C MOS 图像传感器HV7131R 为图像采集器件,片上系统芯片CY8C21534设计的电容性触摸按键提供系统控制输入。系统软件以嵌入式实时操作系统DSP /B I OS 为开发平台进行实时多任务设计,并对指纹识别算法进行了硬件平台优化和改进。调试结果表明,该系统运行稳定可靠,匹配精度高,满足设计要求。关键词:TM S320VC5501;图像采集;DSP/BI OS;指纹识别算法 中图分类号:TP39 文献标识码:A 文章编号:1000-8829(2010)07-0028-05 D esign of Fi ngerpri nt R ecognition Syste m B ased on T M S 320VC 5501and DSP /BI O S L I U H u i ying ,LI Fe,i N I N G Fe,i FU Lei (Schoo l of A uto m a tion ,N orth w este rn Po lytechn i ca lU n i versity ,X i an 710129,Chi na) Abst ract :A fi n gerpri n t recogn iti o n syste m is pr oposed based on sing le DSP pr ocessor str ucture because of de si g n fla w s of the presen t e m bedded syste m.T M S320VC5501is used as the processor ,and HV7131R C MOS co lor i m age senso r is used as i m age acqu isiti o n ch i p .C ap sense touch keyboard is i n troduced for input contro l usi n g C Y8C21534SoC chip .The real ti m e mu lti task desi g n o f syste m soft w are is based on the e mbedded real ti m e operation syste m DSP /B I O S .The fi n ger pri n t recogniti o n algorithm is opti m ized and i m proved in the hard w are platfor m .The test resu lt sho w s t h at th is syste m r uns stable and the precisi o n o f m atch i n g m eets the re quire m en ts o f syste m .K ey w ords :TM S320VC5501;i m age acquisiti o n;DSP /B I O S ;fi n gerpri n t recogn iti o n algorithm 随着现代社会数字化、信息化和网络化进程的不断加快,人们之间的信息交流愈加频繁,对身份鉴别技术的要求也越来越高。传统的身份识别(如钥匙、证件和密码等)存在容易丢失、磨损以及遗忘等缺点,因此人们把目光转向生物识别技术。所谓生物识别技术是指利用人的生理特征或行为特征来进行个人身份的鉴定。指纹的唯一性和终生不变性,使其成为当前生 物识别的主要研究对象[1] 。指纹识别技术具有很高的可行性和实用性,因而成为目前应用最广泛的个人身份认证技术之一。指纹识别技术的应用系统可以分 为两大类,即联机(PC)识别系统和嵌入式识别系统。嵌入式识别系统结构上相对独立,不需要连接计算机就可以独立完成其设计功能,具有速度快、体积小、接口多等优点,被应用到各种领域。但是该系统存在两方面的问题:一是缺少操作系统,程序处于!裸跑?状态,降低了系统运行的可靠性;二是更多地采用!DSP +FPGA ?的处理器结构,增加了系统功耗与成本,从而限制了其应用的范围。因此,开发基于操作系统的DSP 指纹识别系统具有很大的实际意义。 1 系统设计方案 本系统以T M S320VC5501(以下简称C5501)DSP 为核心处理器,它是T I 公司最新推出的高性能、低功耗16位定点DSP 芯片,器件上集成了多种先进的外设[2] 。电容式触摸键盘和LCD 构成的人机交互模块

计算机系统设计报告书模板

课程设计报告目录

一、课程设计概述: 本次数据结构课程设计共完成三个题:一元稀疏矩阵多项式计算器、稀疏矩阵的操作、Josephu问题。 使用语言:C 编译环境:vc6.0 二、课程设计题目一 成绩分析文档资料 [问题描述] 录入、保存一个班级学生多门课程的成绩,并对成绩进行分析。 [需求分析] 1.通过键盘输入各学生的多门课程的成绩,建立相应的文件input.dat 2.对文件input.dat中的数据进行处理,要求具有如下功能: a.按各门课程成绩排序,并生成相应的文件输出 b.计算每人的平均成绩,按平均成绩排序,并生成文件 c.求出各门课程的平均成绩、最高分、最低分、不及格人数、

60-69分人数、70-79分人数、80-89分人数、90分以上人数 d.根据姓名或学号查询某人的各门课成绩,重名也要能处理 3.界面美观 [概要设计] -=ADT=- { Status CreateList(DataRecond* DR, int n); //创建成绩表 Status SortScore(DataRecond* DR,int n); //按各科成绩排序并存于文件 Status Partition(KeyWord* RL, int low, int high); //快速排序的第一趟 Status QSort(KeyWord* RL, int low, int high); //快速排序 Status QuickSort(KeyWord* RL,int n); //快速排序

Status EveryAvageScore(DataRecond* DR, int n); //计算每科平均成绩 Status CaluAverage(int *temp, int n); //计算平均成绩 Status ScoreProcess(DataRecond* DR); //成绩处理 Status MaxScore(int *temp, int n); //求最大分数 Status MinScore(int *temp, int n); //求最小分数 Status ScoreSegment(DataRecond* DR); //求分数段 Status Process(int *temp,int n); //主处理函数 Status NameQuery(DataRecond* DR); //按名字查找

基于单片机指纹识别系统设计

任务书 课程设计题目:指纹识别 功能简述: 1)根据所学的知识和能力,设计程序可以实现根据指纹的大小、形状等特征,识别出不同的指纹。 2)利用按键标志当前指纹识别的状态,例如录入状态,识别状态,清楚状态;利用液晶1602能够显示当前指纹识别的状态信息。 3)利用继电器,对当前信息的判断,例如提醒当前指纹识别错误;利用蜂鸣器和LED等提醒当前指纹识别是否正确

目录 第一章绪论…………………………………………………….. 1.1、指纹识别中的基本概念…………………………………1.2 指纹识别的发展前景………………………………………1.3、指纹识别课题设计的内容与意义……………………….. 第二章方案选择……………………………………………… 2.1 系统原理图设计……………………………………………2.2方案说明……………………………………………………… 2.3 方案比较……………………………………………………2.4 方案选择………………………………………………………第三章硬件设计………………………………………………3.1 AT89C52单片机设计……………………………………… 3.2 电源电路设计………………………………………………3.3 按键控制部分电路…………………………………………3.4 LED指示灯电路………………………………………… 3.5 蜂鸣器电路……………………………………………… 3.6 指纹传感器模块………………………………………… 第四章软件程序设计…………………………………………. 4.1程序流程图………………………………………………… 4.2程序…………………………………………………………. 第五章调试…………………………………………………… 5.1硬件调试……………………………………………………. 5.2软件调试……………………………………………………

系统软件设计报告模板

(项目名 称) 系统设计报 告 (部门名称) 文件编号:TD202 文件版次:QMS2005

沈阳东软软件股份有限公司

修改记录

目录 0 报告编制要求 (5) 1 引言 (5) 1.1文档编制目的 (5) 1.2背景 (6) 1.3词汇表 (6) 1.4参考资料 (6) 2 总体设计 (6) 2.1软件体系结构 (6) 2.2系统运行体系 (6) 2.2.1运行体系图 (6) 2.2.2 程序/模块对应表 (7) 2.3系统物理结构 (7) 2.4技术路线 (7) 3 系统接口设计 (7) 3.1用户接口 (7) 3.2外部系统接口 (8) 3.3模块间接口 (8) 4 子系统/ 模块设计 (8) 4.1 子系统 /模块 1(编号 /名称) (9) 4.1.1 功能 (9) 4.1.2 性能 (9) 4.1.3模块结构 (9) 4.1.4 子模块接口设计 (9) 4.2子系统 /模块 2(编号 /名称) (9) 5 数据结构与数据库设计 (9) 5.1 面向对象数据的数据结构 (9) 5.2面向对象数据库设计 (10) 5.3数据安全性 (10) 5.4对象数据 /模块对应表 (10) 6 外部存储结构设计 (10) 7 故障处理说明 (10) 8 尚需解决的问题 (11) 9 附件 (11) 编写指南: 本模板力图给出系统设计阶段可能包括的基本信息,重点在于和需求分析文档相联系。描述系统整体

情况。如果某个章节在项目或当前阶段中无法描述,则可保留其标题,注明“不适用” ;如果需要对本模板的个别章节详细描述,也可将其形成单独的文档,成为本文档附件。 若文档中的某个章节已经在其他项目文档中加以描述,可保留标题,注明“参见(文档编号)(文档名称)(条款)”。 形成正式文档后须删除斜体字内容。 0 报告编制要求 这里列出本系统设计报告编制的经验性要求,须由系统设计人员参照其进行裁剪以确定本次报告编制的相关规定。 1引言 1.1文档编制目的 说明编写这份报告的目的,指出预期的读者 1.2背景叙述系统设计阶段的目标、作用范围以及其他应向读者说明的理解本报告所

基于FPGA的指纹识别系统设计

基于FPGA的指纹识别系统设计 第一章绪论 1.1 设计背景 生物识别技术是利用人的胜物特征进行身份认证的技术, 人的指纹就是生物特征之一。此外, 生物特征还包括虹膜、视网膜、声音和脸部热谱图等。指纹识别是生物识别技术中最为成熟的, 其唯一性、稳定性, 一直都被视为身份鉴别的可靠手段之一。 由于最早的指纹识别技术仅仅依靠人工对比,工作效率低下、比对正确率低、对比对人员的要求高,从而使得指纹识别技术无法得到广泛应用。但随着计算机的出现及其运算速度的迅速提高,使指纹对比鉴定的应用发生了革命性的变化。使用计算机管理指纹数据库,极大提高了指纹对比的速度,同时由于计算机比对算法的不断改进提高,使指纹比对误识率已降到了10 - 6 以下,不仅可以满足刑侦方面的需要,而且迅速进入了更多的应用领域。 随着光学技术和光学仪器加工工艺的进步,各种采集指纹图案进行身份认证的系统和设备中需要配备的高清晰、无畸变光学采集仪也达到了很高水平,确保可以生成高质量的指纹图像。计算机运算速度的提高和计算机小型化的进展,使采用微机甚至单片机也可以进行指纹对比运算成为可能。现代电子集成制造技术使得我们可以生产出相当小的指纹图像读取设备和指纹识别模块。其成本下降得也很快,大大加快了指纹识别技术的推广速度。 同时人们对消费类产品的要求越来越趋向于小型化,并且对可携带设备的安全性要求也与日俱增。传统的PC、MCU、或者DSP的处理平台移动性比较差,体积比较大,无法满足人们日益增长的需求。所以,设计一套体积比较小、速度更快的嵌入式指纹识别系统是非常有意义的。 而本设计正是为了这一目的,选用具有高集成度、低功耗、短开发周期的FPGA来完成此项设计,以实现系统的ASIC为研究背景,具有很强的现实意义和广阔的市场空间。 本系统采用xilinx公司Spartan 3E系列FPGA作为核心控制器件,这款器件采

指纹识别系统设计

指纹识别系统设计题目:指纹识别系统设计 专业:电气工程及其自动化 学生姓名:陈 指导教师:黄

摘要 指纹作为人体的重要特征具有长期不变性和唯一性已经成为生物识别领域的重要手段通过指纹特征来鉴别人的身份的技术正在得到越来越广泛的应用随着指纹检测技术和指纹识别算法的不断改进指纹识别技术还将在越来越多的部门得到更广泛的应用。针对指纹的唯一性和终身不变性的特点.提出了一种基于FPS200固态指纹传感器和TMS320VC5402 DSP 芯片的快速指纹识别系统,促使指纹识别设备向小型化、嵌入式、自动化方向发展;对系统的组成原理、指纹采集和指纹图像处理力法进行了分析;结合FPS200和TMS320VC5402芯片的特性,对系统硬件核心和图像采集电路做了详细介绍,并给出系统硬件设计方案、软件设计流程;实验结果表明.系统指纹采集效率高,识别速度快,识别结果准确可靠;该系统性能稳定.实用性强,应用范围广泛。 关键词:指纹识别;TMS320VC5402;DSP;指纹采集;图像处理

Abstract As the uniqueness and constancy of fingerprint ,a quick fingerprint recognition system based on fingerprint sensor FPS200 and DSP chip TMS320VC5402 is presented. The composing principles of the system , fingerprint collection and fingerprint image processing methods are introduced particular .with the characteristics of FPS200 TMS320VC5402 ,the core of the hardware collecting circuit and the designs of the hardware and software are introduced in details. The results of experiments indicated that this system works with great fingerprint collection efficiency, high recognition speed and credible recognition results because of the stead performance and practicability the system will have wide application area .

指纹识别门禁系统的设计与实现

目录 摘要 .............................................................. I II ABSTRACT ........................................................... I V 第一章绪论 ........................................................ 1 1.1 论文的背景及意义............................................ 1 1.2 识别技术简介................................................ 2 1.2.1 指纹特点 .............................................. 2 1.2.2 指纹特征 .............................................. 2 1.2.3 指纹应用系统简介...................................... 2 1.2.4 指纹取像技术及其特点.................................. 3第二章指纹门禁系统的总体设计 ...................................... 5 2.1 系统功能.................................................... 5 2.2 系统性能指标................................................ 5 2.3 系统硬件结构................................................ 6 2.4 系统软件结构................................................ 7第三章指纹门禁系统的硬件设计 ...................................... 9 3.1 SPCE061A单片机介绍 ......................................... 9 3.1.1 SPCE061A单片机的主要性能.............................. 9 3.1.2 指纹识别模块OM-20的管脚说明及性能指标................ 9 3.1.3 SPCE061A单片机与指纹识别模块OM-20的接口电路设计... 10 3.2 SPCE061A单片机与液晶显示模块SPLC501的接口............... 11第四章指纹门禁系统的软件设计 .................................... 13 4.1 指纹处理模块.............................................. 13 4.1.1 指纹识别模块OM-20通讯协议.......................... 13 4.1.2 登记指纹模板程序设计................................ 13 4.1.3 删除指纹模板程序设计................................ 14 4.1.4 清空指纹模板程序设计................................ 14 4.2 系统主程序设计............................................ 15 4.3 指纹开门程序设计.......................................... 15

XX系统应用系统安全设计报告(模板)

XX系统应用系统安全设计报告 XX公司 20XX年X月

目录 1.引言 (1) 1.1. 编写目的 (1) 1.2. 背景 (1) 1.3. 术语 (1) 1.4. 参考资料 (1) 2.总体安全设计 (1) 3.详细设计 (1) 3.1. 业务安全设计 (1) 3.2. 数据安全设计 (1) 3.3. 系统安全功能设计 (1) 3.3.1.用户认证安全设计 (2) 3.3.2.用户授权安全设计 (2) 3.3.3.访问控制安全设计 (2) 3.3.4.数据加/解密安全设计 (2) 3.3.5.数据签名/验签安全设计 (2) 3.3.6 (2) 3.4. 使用安全设计 (2)

1.引言 1.1.编写目的 描述编写文档的目的。 1.2.背景 描述本文档适用范围、场景等相关的背景信息,便于读者充分了解合计内容。 1.3.术语 描述文档中用到的专业术语及相关解释。 术语1:术语1的解释。 术语2:术语2的解释。 …… 1.4.参考资料 描述文档中使用的参考资料。 2.总体安全设计 描述应用系统总体安全设计方案以及关键技术描述。 3.详细设计 针对应用系统安全方面的内容进行详细描述。 3.1.业务安全设计 针对业务部门对应用系统提出的安全需求,描述对应的安全设计方案。 3.2.数据安全设计 针对数据保护的安全需求,描述数据安全设计方案。 3.3.系统安全功能设计

3.3.1.用户认证安全设计 描述用户认证方面采用的技术以及设计方案。 3.3.2.用户授权安全设计 描述用户授权方面采用的技术以及设计方案。 3.3.3.访问控制安全设计 描述访问控制方面采用的技术以及设计方案。 3.3. 4.数据加/解密安全设计 描述数据加/解密方面采用的技术以及设计方案。 3.3.5.数据签名/验签安全设计 描述数据签名/验签方面采用的技术以及设计方案。3.3.6.…… 描述其他安全功能设计方案。 3.4.使用安全设计 描述应用系统在使用方面采用的安全技术及设计方案。

毕业设计(论文)-基于51单片机的指纹识别

随着现代化各种科学新技术的快速发展,在日常生活中,我们需要各种身份认证和各种密码认证,还有对各种设备配备钥匙,对保险柜安装防盗系统等等,社会的进步,科技的发展,促使传统的安全系统的抵御能力越来越薄弱。因此,生物特征识别应用而生,开始走进我们身边的各种安全系统,指纹识别作为生物特征识别的一个典型应用已经得到很广泛的应用和认可,指纹特征具有唯一性,是每个人终生不变的特征之一,并且各个人的各个指纹都不一样。本系统采用89C52RC单片机作为主芯片,通过与指纹识别模块FM-180之间通过串口通信方式的通信,采用液晶12864作为显示器,加上简单的外围电路,如按键输入、LED灯报警电路、蜂鸣器电路,最后通过编写软件和制作硬件,实现一个可以通过单片机对指纹的录入,识别,删除等功能操作的指纹识别系统。 关键词指纹识别系统;单片机89C52;液晶12864

With the rapid development of modern science a variety of new technologies, in everyday life, we need a variety of authentication and a variety of password authentication, as well as a variety of devices with keys for the safe installation of security systems, etc., social progress the development of technology, to promote the traditional security system resilience increasingly weak. Thus, biometric applications, born around us began to enter various security systems, biometric fingerprint identification as a typical application has been very widely used and recognized, unique fingerprint characteristics, life is not for everyone one variable characteristics, and each person's fingerprints are not the same individual. The system uses 89C52RC microcontroller as the main chip, it passes between the fingerprint recognition module FM-180 serial communication with the communication method by using a liquid crystal display as 12864, plus simple peripheral circuits, such as key input, LED light alarm circuit, buzzer circuit, and finally through the preparation and production of software, hardware, you can implement a microcontroller on the fingerprint input, recognition, and delete functions operate fingerprint identification system. Key words Fingerprint identification system;SCM 89C52;LCD 12864

软件详细设计报告文档模板

软件详细设计报告文档模板 1.引言 1.1编写目的 说明编写详细设计方案的主要目的。 说明书编制的目的是说明一个软件系统各个层次中的每个程序(每个模块或子程序)和数据库系统的设计考虑,为程序员编码提供依据。 如果一个软件系统比较简单,层次很少,本文件可以不单独编写,和概要设il?说明书中不重复部分合并编写。 方案重点是模块的执行流程和数据库系统详细设计的描述。 1.2背景 应包含以下几个方而的容: A.待开发软件系统爼称: B.该系统基本概念,如该系统的类型、从属地位等; C.开发项目组轻称。 1.3參考资料 列出详细设讣报告引用的文献或资料,资料的作者、标题、出版单位和出版日期等信息,必要时说明如何得到这些资料。 1.4术语定义及说明 列岀本文档中用到的可能会引起混淆的专门术语、左义和缩写词的原文。 2.设计概述 2.1任务和目标 说明详细设计的任务及详细设汁所要达到的目标。 1丄1需求概述

对所开发软件的槪要描述,包括主要的业务需求、输入、输出、主要功能、性能等,尤其需要描述系统性能需求。 1.1.2运行环境概述 对本系统所依赖于运行的硬件,包括操作系统、数据库系统、中间件、接口软件、可能的性能监控与分析等软件环境的描述,及配置要求。 1」.3条件与限制 详细描述系统所受的部和外部条件的约束和限制说明。包括业务和技术方而的条件与限制以及进度、管理等方而的限制。 1.1.4详细设计方法和工具 简要说明详细设计所采用的方法和使用的工具。如HIPO图方法、IDEF(I2DEF)方法、E-R图,数据流程图、业务流程图、选用的CASE I具等,尽量采用标准规和辅助工具。 3.系统详细需求分析 主要对系统级的需求进行分析。首先应对需求分析提出的企业需求进一步确认,并对由于情况变化而带来的需求变化进行较为详细的分析。 3.1详细需求分析 包括: ?详细功能需求分析 ?详细性能需求分析 ?详细资源需求分析 ?详细系统运行环境及限制条件分析 3.2详细系统运行环境及限制条件分析接口需求分析 包括: ?系统接口需求分析 ?现有硬、软件资源接口需求分析 ?引进硬、软件资源接口需求分析

指纹识别毕业设计开题报告

本科生开题报告 题目:基于机器视觉的模式识别研究 学号:012006008619 姓名:刘昌 专业:机械设计制造及其自动化 指导老师:肖鹏 院(系):机械科学与工程学院 一、选题背景与论文综述 1、课题来源 本课题来源于企业横向课题。 2、课题目的 基于机器视觉技术的印刷品质量检测系统,在印刷行业有着广阔的市场前景。尤

其是以单张成品为对象的图像检测系统,相对于在线式检测系统,有着对所有工序进行把关,对多种产品均可检测的优势。 在整个检测过程中,往往都要用到模式识别技术。不论是图像配准阶段的配准特征判断,还是检测后期对缺陷的识别与分类,都要求系统有着稳定和高效的图像模式识别算法。本课题将针对这一技术进行研究。 本课题以指纹识别问题为对象,研究基于机器视觉的图像模式识别算法。通过算法实现指纹识别过程中涉及的若干图像处理过程,比如图像的预处理,特征点的识别和选取,以及特征点比较和判断。通过积累和分析实验数据,改进算法,以提高算法的识别成功率。 3、课题背景与意义 3.1指纹识别技术的背景 我们手掌及其手指、脚、脚趾内侧表面的皮肤凸凹不平产生的纹路会形成各种各样的图案。这些纹路的存在增加了皮肤表面的摩擦力,使得我们能够用手来抓起重物。人们也注意到,包括指纹在内的这些皮肤的纹路在图案、断点和交叉点上各不相同,也就是说,是唯一的。依靠这种唯一性,我们就可以把一个人同他的指纹对应起来,通过对他的指纹和预先保存的指纹进行比较,就可以验证他的真实身份。这种依靠人体的身体特征来进行身份验证的技术称为生物识别技术,指纹识别是生物识别技术的一种。 目前,从实用的角度看,指纹识别技术是优于其他生物识别技术的身份鉴别方法。这是因为指纹各不相同、终生基本不变的特点已经得到公认。 最早的指纹识别系统应用与警方的犯罪嫌疑人的侦破,已经有 30多年的历史,这为指纹身份识别的研究和实践打下了良好的技术基础。特别是现在的指纹识别系统已达到操作方便、准确可靠、价格适中的阶段,正逐步应用于民用市场。 指纹识别系统通过特殊的光电转换设备和计算机图像处理技术,对活体指纹 进行采集、分析和比对,可以迅速、准确地鉴别出个人身份。系统一般主要包括对指纹图像采集、指纹图像处理、特征提取、特征值的比对与匹配等过程。现代电子集成制造技术使得指纹图像读取和处理设备小型化,同时飞速发展的个人计算机运算速度提供了在微机甚至单片机上可以进行指纹比对运算的可能,而优秀

软件工程毕业设计_基于指纹识别的考勤管理系统

河北农业大学 本科毕业论文(设计) 题目:基于指纹识别的考勤管理系统 摘要 随着计算机技术的飞速发展,计算机在企业应用中的普及,利用计算机实现企业的人事考勤管理势在必行。并且随着企业员工的增加,企业的考勤管理变得越来越复杂。规范的考勤管理是企业提高管理效益的重要保证,而传统的人工管理存在着效率低,不易统计,成本高和易出错等弊端,已经无法适应现代企业的需求。随着计算机技术和通信技术的迅速发展,将传动的人工考勤管理数据化,建立一个高效率,无差错的考勤管理系统,能够有效的帮助企业实现“公正考勤,高效薪资”,使企业的管理水平登上一个新的台阶。 本文介绍了该系统的开发背景、意义及国内外现状,然后重点阐述利用VC++、Sql Server 2000采用“自上而下地总体规划,自下而上地应用开发”的策略开发指纹考勤管理系统的过程。包括设计与实现环节,并且通过测试分析总结出该系统的功能特点。 本系统主要完成以下几个方面的功能,1.员工基本信息管理:包括对员工基本信息的添加、删除,修改以及查询。2.员工排班的管理:该模块用户对员工每天的上班请况进行安排。3.员工考勤管理模块:包括对员工上班下班进行签到。4.员工考勤统计查询模块:包括对员工考勤情况进行统计和详细的查询。总之该系统通过简单的操作界面,实现了方便的录入数据,形成了一个快捷的查询、签到管理系统。 关键字:考勤管理、排班管理、VC++、Sql Server 2000

Abstract With the rapid development of computer technology, computer application in enterprise, personnel attendance management be imperative using the computer. And with the increase of enterprise staff, attendance management of enterprises become more and more complex. Attendance management is an important guarantee for enterprises to improve management efficiency, and the traditional manual management has low efficiency, difficult to statistics, the high cost and error prone and other defects, has been unable to meet the needs of modern enterprises. With the rapid development of computer technology and communication technology, artificial attendance management data transmission, the establishment of an efficient, error-free attendance management system, can effectively help enterprises to realize "fair attendance, high salaries", make the management level of enterprises will get to a new level. This paper introduces the development background, significance and the status of the system, and then focuses on the use of VC++, Sql Server 2000 using a "top-down in the overall planning, bottom-up strategy development of fingerprint attendance management system of Shangdi application development" process. Including the design and implementation aspects, and summarized by test and analysis functions and characteristics of the system. This system mainly completes the following functions, 1 basic employee information management: including the staff basic information to add, delete, modify and query. 2 employee scheduling management module: the user to employees working every day to arrange it. 3 staff attendance management module: including the staff work attendance. 4 staff attendance statistics query module: includes statistical and detailed inquiry on staff attendance. The system through a simple interface, realizes convenient data entry, forming a fast query, attendance management system.

系统设计报告模板

CRM系统设计 1. 功能模块划分及描述 1.1系统功能模块结构图 1.2系统功能模块描述 2. 系统配置设计 3.系统流程图设计 4. 代码设计 5. 数据库设计 5.1概念结构设计 5.2逻辑设计 6. 系统模块设计

1. 功能模块划分及描述 客户关系管理系统是一个典型的数据库开发应用程序,由客户管理模块、库存管理模块、服务管理模块、报表管理模块、email管理模块、用户管理模块组成,系统功能模块及描述如下。 1.1系统功能模块结构图 图1 系统功能模块结构图 1.2系统功能模块描述 1、客户管理模块 该模块主要功能是对客户信息、客户联系人信息、合同信息进行添加、删除、查询等操作。 2、库存管理模块 该模块的主要功能是管理入库、出库信息、产品信息进行管理,其中包括对库存信息、产品信息进行添加、删除、查询等操作。 3、服务管理模块 该模块主要功能是对客户反馈信息进行添加、删除、查询等操作。 4、报表管理模块

该模块主要通过查询条件,对各种信息进行查询,并将得到的结果导出Excel 表、进行打印报表等操作(其息包括:客户信息、联系人信息、反馈客户信息、库存信息)。 5、管理模块 该模块主要管理客户联系人email地址信息,对企业客户之间的email文件进行管理,向客户发送。 6、用户管理 该模块主要管理用户信息的添加、删除等操作,并设置用户的使用权限。2. 系统配置设计 硬件平台: CPU:P4 2.8GHz; 存:2GB以上。 软件平台: 操作系统:Windows xp/ Windows 7/ Windows 2003; 数据库:SQL Server 2000; 浏览器:IE6.0,推荐使用IE8.0; Web服务器:IIS5.0; 分辨率:最佳效果1024*768。 3.系统流程图设计 系统流程图又叫事务流程图,是在计算机事务处理应用进行系统分析时常用的一种描述法(另一个是数据流图),它描述了计算机事务处理中从数据输入开始到获得输出为止,各个处理工序的逻辑过程。 根据需求分析的要求对系统进行设计,系统流程图如图2:

相关文档
最新文档