译码器实验报告

合集下载

3-8译码器实验报告

3-8译码器实验报告

3-8译码器实验报告
班级:121 姓名:连森学号:02
1.实验目标与实验要求:
1.理解译码器的概念和意义
2.理解译码器在计算机电路里的作用。

2.实验器材:
Altair 80C31Small 教学实验平台杜邦线
3.实验原理(电路图):
74HC138 是集成3-8 线译码器,能将3 位二进制码转换为8 位输出信号,这8 位输出信号相对于输入的3 位二进制码的8 种编码,始终只有一位输出有效(低电平),其余7 位皆无效(高电平)
4.实验步骤
首先用杜邦线将A3实验区与逻辑开关K1~K6相连的JP1-1~JP1-6,连接到A7实验区与74HC138相连的JP9单号插针;接着在74HC138的输出端连接绿色LED显示器;然后用跳线连接JP39-1与JP39-2,即可接通电源。

5.实验结果(现象):
当K4、K5、K6 打到0、0、1时,译码器74HC138 的逻辑功能有效,相应引脚输出低电平,对应LED 熄灭。

否则,74HC138 始终输出高电平(无效电平),LED 全亮。

EDA实验报告三(3-8译码器的设计)

EDA实验报告三(3-8译码器的设计)

EDA实验报告三(3-8译码器的设计)实验三:3-8译码器的设计⼀、实验⽬的1、学习Quartus II 7.2软件设计平台。

2、了解EDA的设计过程。

3、通过实例,学习和掌握Quartus II 7.2平台下的⽂本输⼊法。

4、学习和掌握3-8译码器的⼯作和设计原理。

5、初步掌握该实验的软件仿真过程。

⼆、实验仪器PC机,操作系统为Windows7/XP,本课程所⽤系统均为WindowsXP(下同),Quartus II 7.2设计平台。

三、实验步骤1、创建⼯程,在File菜单中选择New Project Wizard,弹出对话框如下图所⽰在这个窗⼝中第⼀⾏为⼯程保存路径,第⼆⾏为⼯程名,第三⾏为顶层⽂件实体名,和⼯程名⼀样。

2、新建设计⽂本⽂件,在file中选择new,出现如下对话框:选择VHDL File 点击OK。

3、⽂本输⼊,在⽂本中输⼊如下程序代码:library ieee;use ieee.std_logic_1164.all;entity variable_decoder isport(A:in STD_LOGIC;B:in STD_LOGIC;C:in STD_LOGIC;Y:out STD_LOGIC_VECTOR(7 downto 0));end variable_decoder;architecture rtl of variable_decoder isbeginprocess(A,B,C)variable COMB:std_logic_vector(2 downto 0);beginCOMB:=C&B&Acase COMB iswhen "000"=>Y<="11111110";when "001"=>Y<="11111101";when "010"=>Y<="11111011";when "011"=>Y<="11110111";when "100"=>Y<="11101111";when "101"=>Y<="11011111";when "110"=>Y<="10111111";when "111"=>Y<="01111111";when others=>Y<="XXXXXXXX";end case;end process;end rtl;然后保存到⼯程中,结果如下图所⽰:4、编译,如果有多个⽂件要把这个⽂件设为当前顶层实体,这样软件编译时就只编译这个⽂件。

十六进制7段数码显示译码器设计实验报告

十六进制7段数码显示译码器设计实验报告

实验名称:十六进制7段数码显示译码器设计实验目的:1.设计七段显示译码器2.学习Verilog HDL文本文件进行逻辑设计输入;3.学习设计仿真工具的使用方法;工作原理:7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是二进制的,所以输出表达都是十六进制的,为了满足十六进制数的译码显示,最方便的方法就是利用译码程序在FPGA/CPLD中来实现。

例如6-18作为7段译码器,输出信号LED7S 的7位分别接图6-17数码管的7个段,高位在左,低位在右。

例如当LED7S输出为“1101101”时,数码管的7个段g,f,e,d,c,b,a分别接1,1,0,1,1,0,1;接有高电平的段发亮,于是数码管显示“5”。

注意,这里没有考虑表示小数点的发光管,如果要考虑,需要增加段h,例6-18中的LED7S:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)应改为…(7 DOWNTO 0)。

实验内容1:将设计好的VHDL译码器程序在Quartus II上进行编辑、编译、综合、适配、仿真,给出其所有信号的时序仿真波形。

实验步骤:步骤1:新建一个文件夹击打开vhdl文件;步骤2:编写源程序并保存步骤3:新建一个工程及进行工程设置步骤4:调试程序至无误;步骤5:接着新建一个VECTOR WAVEFOM文件及展出仿真波形设置步骤6:输入数据并输出结果(时序仿真图)步骤7:设置好这个模式步骤8:生成RTL原理图步骤9:引脚锁定及源代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY DECL7S ISPORT(A :IN STD_LOGIC_VECTOR(3 DOWNTO 0);LED7S:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END;ARCHITECTURE one OF DECL7S ISBEGINPROCESS(A)BEGINCASE A ISWHEN"0000"=> LED7S<="0111111";WHEN"0001"=> LED7S<="0000110";WHEN"0010"=> LED7S<="1011011";WHEN"0011"=> LED7S<="1001111";WHEN"0100"=> LED7S<="1100110";WHEN"0101"=> LED7S<="1101101";WHEN"0110"=> LED7S<="1111101";WHEN"0111"=> LED7S<="0000111";WHEN"1000"=> LED7S<="1111111";WHEN"1001"=> LED7S<="1101111";WHEN"1010"=> LED7S<="1110111";WHEN"1011"=> LED7S<="1111100";WHEN"1100"=> LED7S<="0111001";WHEN"1101"=> LED7S<="1011110";WHEN"1110"=> LED7S<="1111001";WHEN"1111"=> LED7S<="1110001";WHEN OTHERS =>NULL;END CASE;END PROCESS;END;实验内容二:1、硬件测试。

实验-译码器

实验-译码器

实验八译码器及其应用一、实验目的:1、掌握中规模集成译码器逻辑功能分析及测试方法;2、学会中规模集成译码器的连接使用方法;3、熟悉数码管的使用方法。

二、实验原理:1、译码器是一个多输入、多输出的组合逻辑电路。

它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。

译码器在数字系统中有着广泛的用途,不仅适用于代码的转换,终端的数字显示,而且还适用于数据分配,存储器寻址和组合控制信号等方面。

2、译码器可分为通用译码器和显示译码器两大类。

通用译码器又分为变量译码器和代码变换译码器。

(1)、变量译码器(又称二进制译码器),用以表示输入变量的状态,如2/4线译码器、3/8线译码器和4/16线译码器。

若有n个输入变量,则有2n个不同的状态组合,就有2n 个输出端供其使用。

而每一个输出状态所代表的函数就对应一个n变量的最小项表达式。

图8-1 3-8译码器74LS138逻辑图及列脚排列以3/8线译码器74LS138为例进行分析,图8-1(a)、(b)分别为其逻辑图和引脚排列图。

A2、A1、A0为地址输入端,Y0~Y7是译码器输出端,S1、S2、S3是使能端。

表8-1为74LS138功能表,当S1=1,S2+S3=0时,器件使能端有效,地址码所指定的输出端有信号(为0)输出,其它所有输出端均无信号(为1)输出。

当S1=0,S2+S3=X时或S1=X,S2+S3=1时,译码器被禁止,所有输出同时为1。

二进制译码器实际上也就是负脉冲输出的脉冲分配器。

若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称多路分配器),如图8-2所示。

若在S1输入端输入数据信息,S2=S3=0,地址码所对应的输出是S1数据信息的反码;若从S2输入端输入数据信息,令S1=1,S3=0,地址码所对应的输出就是S2端数据信息的原码。

若数据信息是时钟脉冲,则数据分配器便成为时钟脉冲分配器。

根据输入地址的不同组合译出唯一的地址,故可用作地址译码器。

译码器和编码器实验报告

译码器和编码器实验报告

译码器和编码器实验报告一、实验目的。

本实验旨在通过对译码器和编码器的实验操作,加深对数字通信原理中编码解码技术的理解,掌握其工作原理和实际应用。

二、实验原理。

1. 译码器。

译码器是一种将数字信号转换为模拟信号或者模拟信号转换为数字信号的设备。

在数字通信系统中,译码器通常用于将数字信号转换为模拟信号,以便在模拟信道上传输。

在接收端,译码器将模拟信号转换为数字信号,以便进行数字信号处理和解码。

2. 编码器。

编码器是一种将数字信号转换为另一种数字信号的设备。

在数字通信系统中,编码器通常用于将数字信号转换为便于传输和存储的编码形式,以提高传输效率和数据安全性。

三、实验内容。

1. 实验仪器与材料。

本实验使用的仪器包括译码器、编码器、示波器、信号发生器等。

实验材料包括数字信号发生器、示波器连接线等。

2. 实验步骤。

(1)连接实验仪器,将数字信号发生器连接到编码器的输入端,将编码器的输出端连接到译码器的输入端,再将译码器的输出端连接到示波器。

(2)设置实验参数,调节数字信号发生器的频率和幅度,设置编码器和译码器的工作模式和参数。

(3)观察实验现象,通过示波器观察编码器和译码器的输入输出波形,记录实验数据。

(4)分析实验结果,根据实验数据分析编码器和译码器的工作原理和特性,总结实验结果。

四、实验结果与分析。

通过本次实验,我们成功观察到了编码器和译码器的输入输出波形,并记录了相应的实验数据。

通过分析实验结果,我们深入理解了译码器和编码器的工作原理和特性,对数字通信原理有了更深入的认识。

五、实验总结。

本次实验通过实际操作加深了我们对译码器和编码器的理解,提高了我们的实验操作能力和数据分析能力。

译码器和编码器作为数字通信系统中重要的组成部分,对数字信号的处理和传输起着至关重要的作用,我们应进一步深入学习和掌握其原理和应用。

六、实验心得。

通过本次实验,我们不仅学习到了译码器和编码器的工作原理,还提高了实验操作和数据分析的能力。

译码器和编码器实验报告

译码器和编码器实验报告

译码器和编码器实验报告实验报告:译码器和编码器实验目的:1.了解数字电路中译码器和编码器的原理。

2.通过实验了解译码器和编码器的工作过程。

3.锻炼实验操作能力。

实验器材:1.数字实验箱。

2.74LS147译码器芯片。

3.74LS148编码器芯片。

4.连线电缆。

5.电源。

实验原理:1.译码器的作用是将输入的数字信号转换成特定的输出信号。

2.编码器的作用是将特定的输入信号转换成数字信号。

3.74LS147是一个10到4行BCD译码器,输入BCD码,输出对应的十进制数。

4.74LS148是一个4到10行BCD编码器,输入对应的十进制数,输出对应的BCD码。

实验步骤:1.搭建74LS147译码器电路。

2.输入BCD码,记录输出的十进制数。

3.搭建74LS148编码器电路。

4.输入十进制数,记录输出的BCD码。

实验结果:1.输入BCD码1111,输出的十进制数字为15。

2.输入BCD码0001,输出的十进制数字为1。

3.输入十进制数字9,输出的BCD码为1001。

4.输入十进制数字3,输出的BCD码为0011。

实验结论:1.通过本次实验,我们成功了解了数字电路中译码器和编码器的原理和工作过程,掌握了实验操作技能。

2.74LS147译码器芯片的作用是输入BCD码,输出对应的十进制数;74LS148编码器芯片的作用是输入对应的十进制数,输出对应的BCD码。

3.译码器和编码器是数字电路中常用的组件,广泛应用于计算机、通信等各个领域,对现代生产和生活产生了巨大的影响。

4.数字电路是计算机科学中非常重要的基础,通过实验学习数字电路的原理和工作方式,有助于我们更好地理解计算机的工作原理,同时也有助于锻炼我们的实验操作能力。

译码器、编码器及其应用实验报告

译码器、编码器及其应用实验报告实验四译码器、编码器及其应用实验人员:班号:学号:一、实验目的(1) 掌握中规模集成译码器的逻辑功能和使用方法;(2) 熟悉掌握集成译码器和编码器的应用;(3) 掌握集成译码器的扩展方法。

二、实验设备数字电路实验箱,74LS20,74LS138。

三、实验内容(1) 74LS138译码器逻辑功能的测试。

将74LS138输出接数字实验箱LED管,地址输入接实验箱开关,使能端接固定电平(或GND)。

电路图如Figure 1所示:Figure 2时,任意拨动开关,观察LED显示状态,记录观察结果。

时,按二进制顺序拨动开关,观察LED显示状态,并与功能表对照,记录观察结果。

用Multisim进行仿真,电路如Figure 3所示。

将结果与上面实验结果对照。

Figure 4(2) 利用3-8译码器74LS138和与非门74LS20实现函数:四输入与非门74LS20的管脚图如下:对函数表达式进行化简:按Figure 5所示的电路连接。

并用Multisim进行仿真,将结果对比。

Figure 6(3) 用两片74LS138组成4-16线译码器。

因为要用两片3-8实现4-16译码器,输出端子数目刚好够用。

而输入端只有三个,故要另用使能端进行片选使两片138译码器进行分时工作。

而实验台上的小灯泡不够用,故只用一个灯泡,而用连接灯泡的导线测试,在各端子上移动即可。

在multisim中仿真电路连接如Figure 7所示(实验台上的电路没有接下面的两个8灯LED):Figure 8四、实验结果(1) 74LS138译码器逻辑功能的测试。

当输入时,应该是输出低电平,故应该第一个小灯亮。

实际用实验台测试时,LE0灯显示如Figure 9所示。

当输入时,应该是输出低电平,故理论上应该第二个小灯亮。

实际用实验台测试时,LE0灯显示如Figure 6所示。

Figure 10Figure 11同理进行其他的测试。

译码器及应用实验报告总结

译码器及应用实验报告总结
一、实验目的
1.了解译码器的原理及应用;
2.掌握译码器的设计方法;
3.提高动手能力和实验操作技能。

二、实验器材
1.译码器模块;
2.数码管显示器;
3.电阻器、电容等元器件;
4.面包板、杜邦线等电子元件。

三、实验原理
译码器是一种将二进制代码转换为十进制代码的电路。

它由多个逻辑门组成,可以将输入的二进制代码翻译成对应的十进制数字。

在本实验中,我们使用的是74HC163译码器模块,它有3个8位输入端和3个8位输出端,可以同时驱动3个LED灯。

当输入端接收到正确的二进制代码时,对应的输出端会亮起相应的LED灯。

四、实验步骤
1.连接电路:将译码器模块的VCC引脚连接到正极电源,GND引脚
连接到负极电源;将译码器模块的IN0~IN7引脚分别连接到数码管显示器的A~D引脚上;将译码器模块的OE引脚连接到一个开关上。

2.编写程序:使用Arduino编程语言编写程序,将三个输入端口与三个输出端口相连,实现对译码器的控制。

具体代码如下:
3.测试程序:将开关打开,观察LED灯的状态变化。

根据程序中的逻辑判断输入的二进制代码是否正确,如果正确则对应的LED灯会亮起。

如果不正确则所有的LED灯都会熄灭。

可以通过修改程序中的二进制数来测试不同的输入情况。

编码器 译码器实验报告

编码器译码器实验报告编码器和译码器实验报告引言编码器和译码器是数字电路中常见的重要组件,它们在信息传输和处理中起着至关重要的作用。

本实验旨在通过实际操作和观察,深入了解编码器和译码器的原理、工作方式以及应用场景。

实验一:编码器编码器是一种将多个输入信号转换为较少数量输出信号的电路。

在本实验中,我们使用了4-2编码器作为示例。

1. 实验目的掌握4-2编码器的工作原理和应用场景。

2. 实验器材- 4-2编码器芯片- 开发板- 连接线3. 实验步骤首先,将4-2编码器芯片插入开发板上的对应插槽。

然后,使用连接线将编码器的输入引脚与开发板上的开关连接,将输出引脚与数码管连接。

接下来,按照编码器的真值表,将开关设置为不同的组合,观察数码管上显示的输出结果。

记录下每种输入组合对应的输出结果。

4. 实验结果与分析通过观察实验结果,我们可以发现4-2编码器的工作原理。

它将4个输入信号转换为2个输出信号,其中每个输入组合对应唯一的输出组合。

这种编码方式可以有效地减少输出信号的数量,提高信息传输的效率。

实验二:译码器译码器是一种将少量输入信号转换为较多数量输出信号的电路。

在本实验中,我们使用了2-4译码器作为示例。

1. 实验目的掌握2-4译码器的工作原理和应用场景。

2. 实验器材- 2-4译码器芯片- 开发板- 连接线3. 实验步骤首先,将2-4译码器芯片插入开发板上的对应插槽。

然后,使用连接线将译码器的输入引脚与开发板上的开关连接,将输出引脚与LED灯连接。

接下来,按照译码器的真值表,将开关设置为不同的组合,观察LED灯的亮灭情况。

记录下每种输入组合对应的输出结果。

4. 实验结果与分析通过观察实验结果,我们可以发现2-4译码器的工作原理。

它将2个输入信号转换为4个输出信号,其中每个输入组合对应唯一的输出组合。

这种译码方式可以实现多对一的映射关系,方便信号的解码和处理。

实验三:编码器和译码器的应用编码器和译码器在数字电路中有广泛的应用场景。

eda3-8译码器实验报告

eda3-8译码器实验报告EDA实验报告三(3-8译码器的设计)实验三:3-8译码器的设计一、实验目的1、学习Quartus II 7.2软件设计平台。

2、了解EDA的设计过程。

3、通过实例,学习和掌握Quartus II 7.2平台下的文本输入法。

4、学习和掌握3-8译码器的工作和设计原理。

5、初步掌握该实验的软件仿真过程。

二、实验仪器PC机,操作系统为Windows7/XP,本课程所用系统均为WindowsXP(下同),Quartus II 7.2设计平台。

三、实验步骤1、创建工程,在File菜单中选择New Project Wizard,弹出对话框如下图所示在这个窗口中第一行为工程保存路径,第二行为工程名,第三行为顶层文件实体名,和工程名一样。

2、新建设计文本文件,在file中选择new,出现如下对话框:选择VHDL File 点击OK。

3、文本输入,在文本中输入如下程序代码:library ieee;use ieee.std_logic_1164.all;entity variable_decoder isport(A:in STD_LOGIC;B:in STD_LOGIC;C:in STD_LOGIC;Y:out STD_LOGIC_VECTOR(7 downto 0));end variable_decoder;architecture rtl of variable_decoder isbeginprocess(A,B,C)variable COMB:std_logic_vector(2 downto 0); beginCOMB:=C&amp;B&amp;A;case COMB iswhen 000=Y=11111110;when 001=Y=11111101;when 010=Y=11111011;when 011=Y=11110111;when 100=Y=11101111;when 101=Y=11011111;when 110=Y=10111111;when 111=Y=01111111;when others=Y=XXXXXXXX;end case;end process;end rtl;然后保存到工程中,结果如下图所示:4、编译,如果有多个文件要把这个文件设为当前顶层实体,这样软件编译时就只编译这个文件。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

译码器实验报告
一、实验目的
本实验旨在让学生了解译码器的基本原理和使用方法,掌握译码器在
数字电路中的应用。

二、实验原理
1. 译码器的定义
译码器是一种将数字信号转换为特定输出信号的数字电路,其输入为
n位二进制数,输出为m位二进制数。

其中n和m可以相等,也可以不相等。

2. 译码器的分类
按照输出类型可分为:二进制译码器、BCD译码器、十六进制译码器等;按照输入类型可分为:通用型译码器和专用型译码器。

3. 74LS138三-八线译码器
74LS138是一种常见的三-八线译码器,它具有三个输入端(A0、A1、A2)和八个输出端(Y0~Y7)。

当输入端口接收到对应的二进制编码时,对应的输出端口会产生低电平信号。

4. 实验装置
本次实验所使用的装置包括:74LS138三-八线译码器、LED灯、电路板、杜邦线等。

三、实验步骤
1. 搭建基础电路
将74LS138三-八线译码器插入电路板上,并连接电源。

接着将LED 灯连接到输出端口上,通过杜邦线连接到电路板上。

2. 连接输入信号
将A0、A1、A2三个输入端口分别连接到三个开关上,并将开关连接到电路板上。

3. 验证实验结果
打开电源,打开三个开关,观察LED灯的亮灭情况。

根据74LS138的真值表可以验证输出是否正确。

四、实验结果分析
通过本次实验,我们成功搭建了74LS138三-八线译码器电路,并成功验证了其输出是否正确。

在实际应用中,译码器常用于数字显示、地址译码等方面。

五、实验注意事项
1. 操作时要注意正负极的连接,避免短路或损坏元件。

2. 操作前应检查元件是否损坏或老化。

3. 在操作过程中要注意安全,避免触电等危险事件发生。

六、总结
本次实验让我们更加深入地了解了译码器的基本原理和使用方法,并掌握了其在数字电路中的应用。

通过手动操作验证真值表结果,我们对数字逻辑的理解也更加深入。

相关文档
最新文档