四位二进制加减法器课程设计

四位二进制加减法器课程设计
四位二进制加减法器课程设计

组合逻辑电路的课程设计

之4位二进制全加\全减器(改进版——加法器与减法器的复合器)

自动化工程学院

摘要:加法器是产生数的和的装置。加数和被加数为输入,和数与进位为输出的装置为半加器。若加数、被加数与低位的进位数为输入,而和数与进位为输出则为全加器。常用作计算机算算术逻辑部件,执行逻辑操作、移位与指指令调用。在电子学中,加法器是一种数位电路,其可进行数字的加法计算。在现代的电脑中,加法器存在于算术逻辑单元(ALU)之中。加法器可以用来表示各种数值,如:BCD、加三码,主要的加法器是以二进制作运算。

简介:对于简单的四位二进制全加器,本文只做简要介绍,因为对于单一的加法器,显然是不够实用的,本文将着重就一种加法器与减法器的组合,即设计电路一个电路实现2个4位符号数(原码表示)的加减运算。另有一个控制信号select 选择加法运算或减法运算。若有溢出则产生溢出指示信号。这种加法器与减法器的复合器将在实际操作中表现的更加的适用。

关键字:

四位二进制全加器,四位二进制全减器,原理图Verilog HDL仿真

电路的设计:

常见的四位二进制全加器,通过两片74 283可以实现全加器的功能,即如下图所示:

单一加法器的真值表如图所示:

A3 A2 A1 A0 B3 B2 B1 B0 CIN S3 S2 S1 S0 COUT

而详细的电路图为:

加法器与减法器的复合器:

接下来,我将对于这种加法器与减法器的复合器做详细介绍。

对于这种复合器,通过两个片子来实现。

而详细的电路如图所示:

通过select作为选择端口,控制select的电平即可对加减复合器的加减功能进行选择,本电路中当当select接高电平是,选择的是加法器,当select接低电平时选择的是减法器,通过改变select的电平,可以轻松实现加法器和减法器的转换。

在用select选择了加法或是减法功能后,在输入端A3A2A1A0与B3B2B1B0分别为两个运算数的二进制代码,以高低电平来代表1或0,实现了目标数的输入。

在输出端,43,42,41,39即F3F2F1F0既是加法或减法的运算结果,与输入相同,高电平代表1,低电平代表0。

若是在计算过程中超过了计算量程(有溢出overflow),则overflow 端将有输出。

本设计采用Verilog HDL语言的所设计的4位二进制全加器进行仿真,本文不罗列具体的程序设计,只对仿真图进行展示。

仿真图:

真值表:

在此只对加法功能的真值表进行罗列

总结:

本文以一般的四位二进制加法器为基础,在改进的过程中,将加法器和减法器进行复合,通过一个select端口进行控制,从而将加法器与减法器进行了很好的结合,使电路的使用价值有所提高,同时也方便了电路的使用者。在设计电路,特别是在仿真的过程中,我都遇到了很多在平时学习中没有遇到过的难题,但是通过查阅资料等很多办法,我解决了这些困难,并成功的使电路实现了预期的功能。在这次过程中,我不但对数字设计有了更加深刻的认识,也提高了自己自主学习的能力,因此我感到自己受益良多。

实验四、 计数器的设计 电子版实验报告

实验四:计数器的设计 实验室:信息楼247 实验台号: 4 日期: 专业班级:机械1205 姓名:陈朝浪学号: 20122947 一、实验目的 1. 通过实验了解二进制加法计数器的工作原理。 2. 掌握任意进制计数器的设计方法。 二、实验内容 (一)用D触发器设计4位异步二进制加法计数器 由D触发器组成计数器。触发器具有0和1两种状态,因此用一个触发器 就可以表示1位二进制数。如果把n个触发器串起来,就可以表示N位二进制 数。(用两个74LS74设计实现) (二)利用74LS161设计实现任意进制的计数器 设计要求:学生以实验台号的个位数作为所设计的任意进制计数器。 先熟悉用1位74LS161设计十进制计数器的方法。 ①利用置位端实现十进制计数器。 ②利用复位端实现十进制计数器。 提示:设计任意计数器可利用芯片74LS161和与非门设计,74LS00为2输 入与非门,74LS30为8输入与非门。 74LS161为4位二进制加法计数器,其引脚图及功能表如下。

三、实验原理图 1.由4个D触发器改成的4位异步二进制加法计数器 2.由74LS161构成的十进制计数器

四、实验结果及数据处理 1.4位异步二进制加法计数器实验数据记录表 2. 画出你所设计的任意进制计数器的线路图,并说明设计思路。

设计思路:四进制为四个输出Q3Q2Q1Q0=0000,0001,0010,0011循环,第一个无效状态为0100 1,置位法设计四进制计数器:当检测到输入为0011时,先输出显示3,然后再将D 置于低电位,计数器输出Q3Q2Q1Q0复位。 2,复位法设计四进制计数器:当检测到第一个无效状态0100时,通过与非门的反馈计数器的Cr首先置于低电平使计数器复位为0000。 五、思考题 1. 由D触发器和JK触发器组成的计数器的区别? 答:D触发器是cp上升沿触发,JK触发器是下降沿触发。 2. 74LS161是同步还是异步,加法还是减法计数器? 答:同步。加法计数器。 3. 设计十进制计数器时将如何去掉后6个计数状态的? 答:加一个与非门形成负反馈。当计数到第一个无效状态Q3Q2Q1Q0==1010时,Q3和Q1全为1,Q1,Q3接与非门,输出作为复位信号,使所有触发器复位,从而去掉了后6个状态。

四位二进制同步加法计数器(缺0011 0100 0101 0110)

成绩评定表

课程设计任务书

摘要 本次课设题目为四位二进制加法计数器(缺0011 0100 0101 0110)。 首先在QuartusII8.1中建立名为count16的工程,用四位二进制加法计数器的VHDL语言实现了四位二进制加法计数器的仿真波形图,同时进行相关操作,锁定了所需管脚,将其下载到实验箱。 然后,在Multisim软件中,通过选用四个时钟脉冲下降沿触发的JK触发器和同步电路,画出其时序图,卡诺图,建立相关方程,做出相关计算,完成四位二进制加法计数器(缺0011 0100 0101 0110)的驱动方程。在Multisim软件里画出了四位二进制加法计数器的逻辑电路图。经过运行,分析由红绿灯的亮灭顺序及状态,和逻辑分析仪里出现波形图。说明四位二进制加法计数器顺利完成。 关键词:计数器;VHDL语言;仿真;触发器。

目录 一、课程设计目的 (1) 二、设计框图 (1) 三、实现过程 (2) 1、QUARTUS II实现过程 (2) 1.1建立工程 (2) 1.2编译程序 (7) 1.3波形仿真 (10) 1.4 仿真结果分析 (14) 1.5引脚锁定与下载 (14) 2、MULTISIM实现过程 (16) 2.1求驱动方程 (16) 2.2画逻辑电路图 (19) 2.3逻辑分析仪的仿真 (20) 2.4结果分析 (21) 2.5自启动判断 (22) 四、总结 (23) 五、参考书目 (24)

一、课程设计目的 1 了解同步加法计数器工作原理和逻辑功能。 2 掌握计数器电路的分析、设计方法及应用。 3 学会正确使用JK 触发器。 二、设计框图 状态转换图是描述时序电路的一种方法,具有形象直观的特点,即其把所用触发器的状态转换关系及转换条件用几何图形表示出来,十分清新,便于查看。 在本课程设计中,四位二进制同步加法计数器用四个CP 下降沿触发的JK 触发器实现,其中有相应的跳变,即跳过了0011 0100 0101 0110四个状态,这在状态转换图中可以清晰地显示出来。具体结构示意框图和状态转换图如下: 1010 101111001101111011110 /1 /1000 101101110010000100000/0/0/0/0/0/0/0/0/0/????←????←????←????←????←↓↑???→????→????→????→????→? B:状态转换图

加减法运算电路设计

电子课程设 ——加减法运算电路设计¥ 学院:电信息工程学院; 专业:电气工程及其自动化 班级: 姓名: 学号: 指导老师:闫晓梅 2014年12月 19日

加减法运算电路设计 一、设计任务与要求 # 1.设计一个4位并行加减法运算电路,输入数为一位十进制数, 2.作减法运算时被减数要大于或等于减数。 灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算模式,运算完毕,所得结果亦用数码管显示。 4.系统所用5V电源自行设计。 二、总体框图 1.电路原理方框图: % 图2-1二进制加减运算原理框图 2.分析: 如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010), 如(1001) 2和(0111) 2 ,同时在两个七段译码显示器上显示出对应的十进制数 9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,

所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 例如: 若选择加法运算方式,则(1001) 2+(0111) 2 =(10000) 2 十进制9+7=16, 并在七段译码显示器上显示16; 若选择减法运算方式,则(1001) 2-(0111) 2 =(00010) 2 十进制9-7=2, 并在七段译码显示器上显示02。 三、选择器件 ~ 1.器件种类: } ^ 表3-1 2.重要器件简介: (1)[ (2). 4位二进制超前进位加法器74LS283:完成加法运算使用该器件。 1).74LS283 基本特性:供电电压:输出高电平电流:输出低电平电流: 8mA。 2).引脚图:

同步二进制加法计数器

同步二进制加法计数器 F0302011 5030209303 刘冉 计数器是用来累计时钟脉冲(CP脉冲)个数的时序逻辑部件。它是数字系统中用途最广泛的基本部件之一,几乎在各种数字系统中都有计数器。它不仅可以计数,还可以对CP 脉冲分频,以及构成时间分配器或时序发生器,对数字系统进行定时、程序控制操作。此外,还能用它执行数字运算。 1、计数器的特点: 在数字电路中,把记忆输入CP脉冲个数的操作叫做计数,能实现计数状态的电子电路称为计数器。特点为(1)该电路一般为Moore型电路,输入端只有CP信号。 (2)从电路组成看,其主要组成单元是时钟触发器。 2、计数器分类 1) 按CP脉冲输入方式,计数器分为同步计数器和异步计数器两种。 同步计数器:计数脉冲引到所有触发器的时钟脉冲输入端,使应翻转的触发器在外接的CP脉冲作用下同时翻转。 异步计数器:计数脉冲并不引到所有触发器的时钟脉冲输入端,有的触发器的时钟脉冲输入端是其它触发器的输出,因此,触发器不是同时动作。 2) 按计数增减趋势,计数器分为加法计数器、减法计数器和可逆计数器三种。 加法计数器:计数器在CP脉冲作用下进行累加计数(每来一个CP脉冲,计数器加1)。 3) 按数制分为二进制计数器和非二进制计数器两类。 二进制计数器:按二进制规律计数。最常用的有四位二进制计数器,计数范围从0000到1111。 异步加法的缺点是运算速度慢,但是其电路比较简单,因此对运算速度要求不高的设备中,仍不失为一种可取的全加器。同步加法优点是速度快,虽然只比异步加法快千分之一甚至几千分之一秒,但对于计数器来讲,却是十分重要的。所以在这个高科技现代社会中,同步二进制计数器应用十分广泛。 下图为三位二进制加法计数器的电路图。 图1 三位二进制计数器 图示电路为对时钟信号计数的三位二进制加法计数器或称为八进制加法计数器。 该电路的经典分析过程: 1.根据电路写出输出方程、驱动方程和状态方程 2. 求出状态图 3.检查电路能否自启动 4.文字叙述逻辑功能 解:

设计一个一位十进制加减法++数字电路课程设计报告

课程设计报告 课程:微机系统与接口课程设计学号: 姓名: 班级: 教师:

******大学 计算机科学与技术学院 设计名称:设计一个一位十进制加减法器 日期:2010年1月 23日 设计内容: 1、0-9十个字符和“+”“-”分别对应一个按键,用于数据输入。 2、用一个开关控制加减法器的开关状态。 3、要求在数码显示管上显示结果。 设计目的与要求: 1、学习数字逻辑等电路设计方法,熟知加减法器、编码器、译码显示的工作原理及特点; 2、培养勤奋认真、分析故障和解决问题的能力。 设计环境或器材、原理与说明: 环境:利用多功能虚拟软件Multism8进行电路的制作、调试,并生成文件。器材:74LS283或者4008, 4个异或门(一片74LS86)(减法);74LS08,3输入或门(加法) 设计原理: 图1二进制加减运算原理框图 分析:如图1所示,第一步置入两个四位二进制数(要求置入的数小于1010), 如(1001) 2和(0111) 2 ,同时在两个七段译码显示器上显示出对应的十进制数 9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,

所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 设计过程(步骤)或程序代码: 实验电路: 1:减法电路的实现: (1):原理:如图1所示(如下),该电路功能为计算A-B。若n位二进制 原码为N 原,则与它相对应的补码为N 补 =2n-N 原 ,补码与反码的关系式为N 补 =N 反 +1, A-B=A+B 补-2n=A+B 反 +1-2n (2):因为B○+1= B非,B○+0=B,所以通过异或门74LS86对输入的数B求 其反码,并将进位输入端接逻辑1以实现加1,由此求得B的补码。加法器相加的结果为: A+B 反 +1, (3):由于2n=24=(10000) 2 ,相加结果与相2n减只能由加法器进位输出信号完成。当进位输出信号为1时,它与2n的差为0;当进位输出信号为0时,它与2n差值为1,同时还要发出借位信号。因为设计要求被减数大于或等于减数,所以所得的差值就是A-B差的原码,借位信号为0。

四位二进制加法计数器课程设计

成绩评定表 学生姓名郝晓鹏班级学号1103060129 专业通信工程课程设计题目四位二进制加法 计数器 评语 组长签字: 成绩 日期20 年月日

课程设计任务书 学院信息科学与工程学院专业通信工程 学生姓名郝晓鹏班级学号1103060129 课程设计题目四位二进制加法计数(缺0010 0011 1101 1110) 实践教学要求与任务: 1、了解数字系统设计方法。 2、熟悉VHDL语言及其仿真环境、下载方法。 3、熟悉Multisim仿真环境。 4、设计实现四位二进制加计数(缺0010 0011 1101 1110) 工作计划与进度安排: 第一周:熟悉Multisim及QuartusII环境,练习数字系统设计方法。包括采用触发器设计和超高速硬件描述语言设计,体会自上而下、自下而上设计 方法的优缺点 第二周:1.在QuartusII环境中仿真实现四位二进制加计数(缺0100 0101 1001 1010 )。 2.在Multisim环境中仿真实现四位二进制加计数,缺(0100 0101 1001 1010),并通过虚拟仪器验证其正确性。 指导教师: 201 年月日专业负责人: 201 年月日 学院教学副院长: 201 年月日

摘要 本文采用在MAXPLUSⅡ环境中用VHDL语言实现四位二进制加法计数(缺0010 0011 1101 1110),在仿真器上显示结果波形,并下载到目标芯片上,在实验箱上观察输出结果。在Multisim环境中仿真实现四位二进制加法计数器(缺0010 0011 1101 1110),并通过虚拟仪器验证其正确性。 关键词:MAXPLUSⅡ环境;VHDL语言;四位二进制加计数;Multisim环境

加减法运算电路设计

电子课程设 ——加减法运算电路设计 学院:电信息工程学院 专业:电气工程及其自动化 班级: 姓名: 学号: 指导老师:闫晓梅 2014年12月19日

加减法运算电路设计 一、设计任务与要求 1.设计一个4位并行加减法运算电路,输入数为一位十进制数, 2.作减法运算时被减数要大于或等于减数。 3.led灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算 模式,运算完毕,所得结果亦用数码管显示。 4.系统所用5V电源自行设计。 二、总体框图 1.电路原理方框图: 图2-1二进制加减运算原理框图 2.分析: 如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010), 如(1001) 2和(0111) 2 ,同时在两个七段译码显示器上显示出对应的十进制数 9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。

例如: 若选择加法运算方式,则(1001)2+(0111)2=(10000)2 十进制9+7=16,并在七段译码显示器上显示16; 若选择减法运算方式,则(1001)2-(0111)2=(00010)2十进制9-7=2,并在七段译码显示器上显示02。 三、选择器件 1.器件种类: 表3-1 2.重要器件简介: (1) . 4位二进制超前进位加法器74LS283:完成加法运算使用该器件。 1).74LS283 基本特性:供电电压: 4.75V--5.25V 输出高电平电流: -0.4mA 输出低电平电流: 8mA 。 2).引脚图: 图3-1 引出端符号: A1–A4 运算输入端 B1–B4 运算输入端 C0 进位输入端 序号 元器件 个数 1 74LS283D 2个 2 74LS86N 5个 3 74LS27D 1个 4 74LS04N 9个 5 74LS08D 2个 6 七段数码显示器 4个 7 74LS147D 2个 8 开关 19个 9 LM7812 1个 10 电压源220V 1个 11 电容 2个 12 直流电压表 1个

加减法运算电路设计

加减法运算电路设计 1.设计内容及要求 1.设计一个4位并行加减法运算电路,输入数为一位十进制数,且作减法运算时被减数要大于或等于减数。 2.led 灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算模式,运算完毕,所得结果亦用数码管显示。 3.提出至少两种设计实现方案,并优选方案进行设计 2.结构设计与方案选择 2.1电路原理方框图 电路原理方框图如下 → → 图1-1二进制加减运算原理框图 如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010),如(1001)2和(0111)2,同时在两个七段译码显示器上显示出对应的十进制数9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 即: 若选择加法运算方式,则(1001)2+(0111)2=(10000)2 十进制9+7=16 并在七段译码显示器上显示16. 若选择减法运算方式,则(1001)2-(0111)2=(00010)2十进制9-7=2 置数 开关选择运算方式 加法运算电路 减法运算 电路 译码显示计算结果 显示所置入的两个一位十进制数

并在七段译码显示器上显示02. 2.2加减运算电路方案设计 2.2.1加减运算方案一 如图2-2-1所示:通过开关S2——S9接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U13和U15分别显示所置入的两个数。数A 直接置入四位超前进位加法器74LS283的A4——A1端,74LS283的B4——B1端接四个2输入异或门。四个2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关S6——S9,通过开关S6——S9控制数B的输入。当开关S1接低电平时,B与0异或的结果为B,通过加法器74LS283完成两个数A和B的相加。当开关S1接高电平时,B与1异或的结果为B非,置入的数B在74LS283的输入端为B的反码,且74LS283的进位信号C0为1,其完成S=A+B (反码)+1,实际上其计算的结果为S=A-B完成减法运算。由于译码显示器只能显示0——9,所以当A+B>9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001)时加上6(0110),产生的进位信号送入译码器U10来显示结果的十位,U11显示结果的个位。由于减法运算时两个一位十进制数相减不会大于10,所以不会出现上述情况,用一片芯片U11即可显示结果。 2.2.2加减运算方案二 由两异或门两与门和一或门组成全加器,可实现一位二进制加逻辑运算,四位二进制数并行相加的逻辑运算可采用四个全加器串行进位的方式来实现,将低位的进位输出信号接到高位的进位输入端,四个全加器依次串行连接,并将最低位的进位输入端接逻辑“0”,就组成了一个可实现四位二进制数并行相加的逻辑电路。 通过在全加器电路中再接入两个反相器可组成一个全减器,实现一位二进制减逻辑运算,将来自低位的错位信号端接到向高位借位的信号端,依次连接四个全减器,构成可实现四位二进制数并行进行逻辑减运算的电路。 在两组电路置数端接开关控制置数输入加法还是减法运算电路,电路输出端接LED灯显示输出结果,输出为五位二进制数。

十进制4位加法计数器设计

洛阳理工学院 十 进 制 4 位 加 法 计 数 器 系别:电气工程与自动化系 姓名:李奇杰学号:B10041016

十进制4位加法计数器设计 设计要求: 设计一个十进制4位加法计数器设计 设计目的: 1.掌握EDA设计流程 2.熟练VHDL语法 3.理解层次化设计的内在含义和实现 设计原理 通过数电知识了解到十进制异步加法器的逻辑电路图如下 Q3 则可以通过对JK触发器以及与门的例化连接实现十进制异步加法器的设计 设计内容 JK JK触发器的VHDL文本描述实现: --JK触发器描述 library ieee; use ieee.std_logic_1164.all; entity jk_ff is

port( j,k,clk: in std_logic; q,qn:out std_logic ); end jk_ff; architecture one of jk_ff is signal q_s: std_logic; begin process(j,k,clk) begin if clk'event and clk='0' then if j='0' and k='0' then q_s <= q_s; elsif j='0' and k='1' then q_s <= '0'; elsif j='1' and k='0' then q_s <= '1'; elsif j='1' and k='1' then q_s <= not q_s; end if; end if; end process; q <= q_s; qn <= not q_s; end one; 元件门级电路: 与门VHDL文本描述实现: --与门描述library ieee; use ieee.std_logic_1164.all;

简易加减法计算器

电子技术课程设计 题目:简易加减法计算器 一、设计课题:简易加减法计算器 二、设计任务和要求: 1、用于两位以下十进制数的加减运算。 2、以合适方式显示输入数据及计算结果。 三、原理电路设计 1、方案的比较 对于简单加减计算器可有三种不同的方案 ①用数/模转换,与模拟电路中的加减计算器进行简单的加减计 算。先用74LS147二-十进制优先编码器转化为二进制进行输A,然后数模转化模拟信号,进行加减计算后,转化为数字信号输 出。 此方案思路较明确,但经过二次数模相互转换,精确率较低; 具体输出时的负数效应,与单输入的二进制转化为十进制时电 路较复杂,无成块的集成电路,致使误差率较大。 ②可用数字电路中4位超前进位加法器74LS283与方案一输入相 同;后用三态输出CMOS门电路进行选择输入,进行加法运算

后输出,输出时,注意负数的问题与在输出中2进制与10进制关系的问题。还有寄存器的问题。 此方案思路明确,比较精确,此中的2进制与10进制问题需复杂门电路解决无现成集成元件,存在太多的散元件。减法运算需要反码进行运算,况且在其触发过程中需要考虑同步问题。 ③可运用数字电路中的单时钟同步十进制加/减计数器74LS190 进行加减计算。方案以上升沿进行输入,触发加减计算。本方案输入方式不同于一般输入方式,需要有所改进。但思路明了,不十分复杂,对于负数运算较复杂,可集成程度较高。 终上所述,最好是相互结合,以③为本。 2、单元电路设计

3、元件的选择

对于计数器来说需要选同时可以进行加减计数的计数器进行 加减,因此选用单时钟十进制加/减计数器74LS190. 其电路图及功能表如下: 中间由于1/0的输出不能够持久的进行保持,因此可用RS触 发器进行保持。对于加/减,等于触发需要74LS194进行触发 保持 4、整体电路(见附图) 5、工作原理 主要运用十进制加/减计数器74LS190加/减计数功能与74LS194的触发 功能。 六、设计总结 我们以为,在这学期的实验中,在收获知识的同时,还收获了阅历,收获了成熟,在此过程中,我们通过查找大量资料,请教别人,以及不懈的努力,不仅培养了独立思考、动手操作的能力,在各种其它能力上也都有了提高。更重要的是,在实验课上,我们学会了很多学习的方法。而这是日后最实用的,真的是受益匪浅。要面对社会的挑战,只有不断的学习、实践,再学习、再实践。而且,这对于我们的将来也有很大的帮助。以后,不管有多苦,我想我们都变苦为乐,找寻有趣的事情,发现其中珍贵的事情。就像中国提倡的艰苦奋斗一样,我们都可以在实验结束之后变的更加成熟,会面对需要面对的事情。 因为由于时间的紧缺和许多课业的繁忙,并没有做到最好,但是,最起码我们没有放弃,它是我们的骄傲!相信以后我们会以更加积极地态度对待我们的学习、对待我们的生活。我们的激情永远还会结束,

加减法运算电路的课程设计

加减法运算电路的设计 一、设计任务 设计参数 设计一个一位十进制并行加(减)法运算电路;通过按键输入被减数和减数,并设置+、-号按键;允许减数大于被减数,负号可采用数码管或其他显示器件,并利用LED灯显示计算结果。 设计要求 根据技术参数设计电原理图;计算并选择电路元件及参数;仿真调试电路。 二、设计方案 设计电路原理: 1、置入两个四位二进制数。例如(1011)2,(0011)2和(0111)2,(0110)2,同时在两个七段译码显示器上显示出对应的十进制数10,3和7,6 2、通过开关选择加(减)运算方式 3、若选择加运算方式所置数送入加法运算电路进行运算;若选择减运算方式,则所置数送入减法运算电路运算 4、前面所得结果通过另外两个七段译码器显示 即显示结果: 若选择加法运算方式,则(0011)2+(0110)2=(1010)2 十进制3+6=9 并在七段译码显示器上显示 9 若选择减法运算方式,则(0101)2-(1000)2=(10011)2十进制5-8= -3 并在七段译码显示器上显示 -3 设计电路运算方案: 通过开关S1——S8接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U15和U16分别显示所置入的两个数。数A直接置入四位超前进位加法器74LS283的A4——A1端,74LS283的B4——B1端接四个2输入异或门。四个2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关S5——S8,通过开关S5——S8控制数B的输入。当开关S1接低电平时,B与0异或的结果为B,通过加法器74LS283完成两个数A和B的相加。当开关S1接高电平时,B与1异或的结果为B非,置入的数B在74LS283的输入端为B 的反码,且74LS283的进位信号C0为1,其完成S=A+B(反码)+1,实际上其计算的结果为S=A-B完成减法运算。由于译码显示器只能显示0——9,所以当A+B>9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001)2时加上3(0011)2,产生的进位信号送入译码器U13来显示结果的十位,U12显示结果的个位。由于减法运算时两个一位十进制数相减不会大于10,所以不会出现上述情况,用一片芯片U12即可显示结果。 三、电路设计 加法电路的实现 用两片4位全加器74LS283和门电路设计一位8421BCD码加法器。 由于一位8421BCD数A加一位数B有0到18这十九种结果。而且由于显示的关系,当大于9的时候要加六转换才能正常显示。

设计一个四位二进制计数器

1、要求:设计一个四位二进制计数器,将计数结果由数码管显示,显示结果为十进制数。数码管选通为低电平有效,段码为高电平有效。 分析:VHDL 描述包含五部分:计数器、将四位二进制数拆分成十进制数的个位和十位、二选一的数据选择器、七段译码、数码管选通控制信号 线定义为信号 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter3 is Port ( clk:in STD_LOGIC; clk1 : in STD_LOGIC; clr : in STD_LOGIC; en : in STD_LOGIC; co : out STD_LOGIC; scanout:out std_logic_vector(1 downto 0); ledout:out std_logic_vector(6 downto 0)); end counter3; architecture Behavioral of counter3 is signal cnt:std_logic_vector(3 downto 0); signal cnt1:std_logic_vector(3 downto 0); signal cnt2:std_logic_vector(3 downto 0); signal hex:std_logic_vector(3 downto 0); signal scan:std_logic_vector(1 downto 0); en clr

加减法运算器的设计与实现

计算机组成原理实验实验二加减法运算器的设计与实现 专业班级:计算机科学与技术 学号:0936008 姓名:冯帆 学号:0936036 姓名:张琪 实验地点:理工楼901

实验二加减法运算器的设计与实现 一、实验目的 1、掌握加减法运算器的原理图设计方法 2、掌握加减法运算器的V erilog HDL语言描述方法 3、理解超前进位算法的基本原理 4、掌握基于模块的多位加减运算器的层次化设计方法 5、掌握溢出检测方法和标志线的生成技术 6、掌握加减运算器的宏模块设计方法 二、实验内容 1、完成一个4位行波进位的加减法运算器,要求有溢出和进位标志(参阅P75-82 ,P86),并封装成模块。 2、修改上述加减运算器改为超前进位加法运算器,并封装成模块。(参阅P72-75) 3、在上述超前进位加法运算器的基础上,用基于模块的层次化设计方法,完成一个16位行波进位的加法运算器。//组内超前进位,组间行波进位 4、用宏模块的方法实现一个8位加减运算器。 三、实验仪器及设备: PC机+ QuartusⅡ9.0 + DE2-70 四、实验步骤 1、新建工程。 2、新建verilog文件。

3、分析寄存器程序代码并编译。 附代码如下: /*四位行波进位加减法器*/ `define WEISHU 4 module hbjw(a,b,cin,sub,cout,s,overflow); input [`WEISHU-1:0]a; input [`WEISHU-1:0]b; input cin; input sub; output cout; output [`WEISHU:0]s; output overflow; wire w_0; wire w_1; wire w_2; wire w_3; wire [`WEISHU:0]w; assign w=sub?(~b+1'b1):b; assign {w_0,s[0]}=a[0]+w[0]+cin;

四位二进制加法计数器

学院信息学院专业通信工程姓名陈洁学号02 设计题目数字系统课程设计 内容四位二进制加法计数器 技术参数和要求0000→0001→0010→0011→0110→0111→1000→1001→1010→1011→1100→→1101→1110→1111→0000 缺0100→0101 设计任务 1.按要求设计VHDL程序, 2.在Xinlinx Ise环境中运行程序并输出仿真波形。 工作进度和安排第18周: 1.学习Xinlinx Ise软件知识,熟悉软件相关操作; 2.学习multsim软件知识,熟悉其在画逻辑电路时的应用; 3.查阅相关资料,学习时序逻辑电路设计知识。 第20周: 1.按要求编写程序代码,; 2.运行并输出仿真波形; 3.程序下载到电路板测试; 4.利用multsim软件,设计时序电路; 5.运行并验证结果; 6.撰写报告。 指导教师(签字): 年月日学院院长(签字): 年月日

目录 一.数字系统简介 (3) 二.设计目的和要求 (3) 三.设计内容 (3) 四.VHDL程序设计 (3) 五.波形仿真 (11) 六. 逻辑电路设计 (12) 六.设计体会 (13) 七.参考文献 (13)

一.数字系统简介 在数字逻辑设计领域,迫切需要一种共同的工业标准来统一对数字逻辑电路及系统的描述,这样就能把系统的设计分解为逻辑设计(前端),电路实现(后端)和验证桑相互独立而又相关的部分。由于逻辑设计的相对独立性就可以把专家们设计的各种数字逻辑电路和组件建成宏单元或软件核,即ip库共设计者引用,设计者可以利用它们的模型设计电路并验证其他电路。VHDL这种工业标准的产生顺应了历史潮流。 二.设计目的和要求 1、通过《数字系统课程设计》的课程实验使电子类专业的学生能深入了解集成中规 模芯片的使用方法。 2、培养学生的实际动手能力,并使之初步具有分析,解决工程实际问题的能力。三.设计内容 四位二进制加计数,时序图如下: 0000→0001→0010→0011→0110→0111→1000→1001→1010→1011→1100→→1101→1110→1111 →0000 缺0100→0101 。由JK触发器组成4位异步二进制加法计数器。 四.VHDL程序设计 四位二进制加计数,缺0100,0101(sw向上是0(on);灯亮为0) LIBRARY IEEE; USE entity count10 is PORT (cp,r:IN STD_LOGIC; q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); end count10; ARCHITECTURE Behavioral OF count10 IS SIGNAL count:STD_LOGIC_VECTOR(3 DOWNTO 0) ; BEGIN PROCESS (cp,r) BEGIN if r='0' then count<="0000"; elsiF cp'EVENT AND cp='1' THEN if count="0011" THEN count <="0110"; ELSE count <= count +1; END IF; end if; END PROCESS; q<= count; end Behavioral;

加减运算电路的设计及分析

实验2《电子技术》课程设计任务书 设计工作计划 本设计时间为2天,具体安排如下: 熟悉课设目标,查阅相关资料,对相关理论进行剖析:天 设计电路图,计算相关参数,根据电路图进行仿真与测量:1天 撰写报告:天

1. 实验原理 通常在分析运算电路时均设集成运方位理想运放,因而其输入端的净输入电压和净输入电流均为0,即具有“虚短路”和“虚断路”两个特点,这是分析运算电路输出电压和输入电压关系的基本出发点。 从对比例运算电路的分析可知,输出电压与同相输入信号电压极性相同,与反相输入端电压极性相反,因而如果多个信号同时作用于两个输入端,那么必然可以实现加减运算电路。 第一级电路实现加减运算,第二级电路通过运用反响比例运算电路来放大第一级的输出信号。 图(a ) 根据虚断iN=iP=0 (1) 虚短UN=UP (2) iN=(U1-UN )/R1+(U2-UN )/R2-(Uo1/Rf1-UN ) (3) iP=(U3-UP )/R3 (4) 根据式(1)(2)(3)(4)可知,当满足R1//R2//Rf=R3时 Uo1=Rf1(U3/R3-U2/R2-U1/R1) OPAMP_3T_VIRTUAL Rf1100kΩ 图(b )这是一个电压串联负反馈电路 根据电路分析可得U02=-Uo1*Rf2/R5 将两级电路连到一起,可得

U1 OPAMP_3T_VIRTUAL U2 OPAMP_3T_VIRTUAL R1 50kΩ R2 50kΩ R3 40kΩ Rf1 100kΩ R5 40kΩ R6 20kΩ Rf2 40kΩ R4 40kΩ 代入各具体数值可得Uo2=(2Uo1+) 2.用软件的仿真结果 U1 OPAMP_3T_VIRTUAL U2 OPAMP_3T_VIRTUAL R1 50kΩ R2 50kΩ R3 20kΩ Rf1 100kΩ R5 40kΩ R6 20kΩ Rf2 40kΩ XSC1 A B C D G T XFG1 XFG2 XFG3 实验结论 当U1=,U2=,U3=时,Uo2=,与仿真实验结果一样。

加减法运算电路的设计方法

加减法运算电路的设计方法 摘要:给出了任意比例系数的加减法运算电路,分析了比例系数与平衡电阻、反馈电阻的关系。目的是探索比例系数任意取值时加减法运算电路构成形式的变化。结论是在输入端电阻平衡时,各加运算输入信号比例系数之和与各减运算输入信号比例系数之和的差值在大于1、小于1或等于l情况下,加减法运算电路还可简化。所述方法的创新点是将运放输入端电阻的平衡条件转化为与输入信号比例系数的关系,从而可直观确定简化电路形式:扩大了加减法运算电路的应用范围。关键词:加减法运算电路;比例系数;平衡条件0 引言加减法运算电路以集成运算放大器为核心元件构成,多个输入信号分别作用于运放的同相输入端和反相输入端,实现对输入信号的加、减法运算,外部电阻决定输入信号的比例系数。加减法运算电路中运放的输入端有共模信号成分,为使共模输出为零,同时补偿运放输入平均偏置电流及其漂移影响,通常要求运放的输入端电阻平衡,即运放反相输入端、同相输入端所接的电阻相等。本文给出了任意比例系数的加减法运算电路,并指出在输入端电阻平衡时,根据输入信号比例系数的数值范围,加减法运算电路还可简化。1 任意比例系数的加减法运算电路所给出的任意比例系数的加减法运算电路。其中,u111、u112、…u11n 为n个减运算输入信号,u121、u122、…u12m为m个加运算输入信号,u0为输出信号,R11、R12、…R1n、R21、R22、…R2m为输入端电阻,RF为反馈电阻,Rp为平衡电阻,R’为附加电阻。运放输入端电阻的平衡条件为 式(5)反映了输入信号比例系数与附加电阻、平衡电阻、反馈电阻的关系,表明在满足电阻平衡的条件下,各加运算输入信号比例系数之和与各减运算输入信号比例系数之和的差值可以大于l、小于1或等于1,即输入信号的比例系数无限定。根据输入信号比例系数的数值范围,加减运算电路还可简化。2 比例系数加减结果特定取值时的电路简化方案2.1 各加运算输入信号比例系数之和与各减运算输入信号比例系数之和的差值大于1的加减运算电路当各输入信号的比例系数关系为 时,可令式(5)中电阻Rp→∞,即图1所示电路中去掉电阻Rp,由式(5)中实现大于1的平衡条件。2.2 各加运算输入信号比例系数之和与各减运算输入信号比例系数之和的差值小于1的加减运算电路当各输入信号的比例系数关系为时,可令式(5)中电阻R’→∞,即图1所示电路中去掉电阻R’,由式(5)中实现小于1的平衡条件。2.3 各加运算输入信号比例系数之和与各减运算输入信号比例系数之和的差值等于1的加减运算电路当各输入信号的比例系数关系为时,可令式(5)中电阻R’→∞,Rp→∞,即图1所示电路中去掉电阻R’及Rp。3 设计步骤及举例3.1 设计步骤 (1)由参与运算的各输入信号比例系数加、减的数值范围确定电路形式; (2)由运算关系及平衡条件确定外部各个电阻值。3.2 设计举例例1,试设计实现u0=2u121+3u122-u111运算关系的加减运算电路。将所要实现的运算关系式与式(4)对比,确定式(4)中各输入信号的比例系数为因,确定所设计电路的形式为图1中去掉电阻Rp,按三个输入信号重画。选取Rp=120kΩ,代入各输入信号的比例系数表达式中,解出 R21=60kΩ,R22=40kΩ,R11=120kΩ 由式(5)并考虑Rp→∞,有代入各输入信号的比例系数,有解出R’=40kΩ。例2,试设计实现u=2u121-3u111-u112运算关系的加减运算电路。将所要实现的运算关系式与式(4)对比,确定式(4)中各输入信号的比例系数为例3,试设计实现u0=2u121+u122-1.5u111-0.5u112运算关系的加减运算电路。将所要实现的运算关系式与式(4)对比,确定式(4)中各输入信号的比例系数为确定所设计电路的形式为图1中去掉电阻R’及Rp,按四个输入信号重画。选取RF=150kΩ,代入各输入信号的比例系数表达式中,解出 4 结语本文讨论了加减

简易加减计算器设计(数电)

电子技术课程设计电气与信息工程学院建筑电气与智能化专业题目:简易加减计算器设计 姓名:徐雪娇 学号:094412110 指导教师:祁林

简易加减计算器设计 一、设计目的 1、在前导验证性认知实验基础上,进行更高层次的命题设计实验. 2、在教师指导下独立查阅资料、设计、特定功能的电子电路。 3、培养利用数字电路知识,解决电子线路中常见实际问题的能力. 4、积累电子制作经验,巩固基础、培养技能、追求创新、走向实用。 5、培养严肃认真的工作作风和严谨的科学态度。 二、设计要求 1、用于两位一下十进制的加减运算。 2、以合适方式显示输入数据及计算结果。 三、总体设计 第一步置入两个四位二进制数。例如(1001)2,(0011)2和(0101)2,(1000)2,同时在两个七段译码显示器上显示出对应的十进制数9,3和5,8。 第二步通过开关选择加(减)运算方式; 第三步若选择加运算方式所置数送入加法运算电路进行运算;同理若选 择减运算方式,则所置数送入减法运算电路运算; 第四步前面所得结果通过另外两个七段译码器显示。即: 方案一 通过开关J1-J8接不同的高低电平来控制输入端所置的两个一位十进制数, 译码显示器U10和U13分别显示所置入的两个数。数A直接置入四位超前进位 加法器74LS283的A4-A1端,74LS283的B4-B1端接四个2输入异或门。四个 2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关J5-J8,通过开关J5-J8控制数B的输入。当开关S1接低电平时,B与0异或的结果为B, 通过加法器74LS283完成两个数A和B的相加。当开关J1接高电平时,B与1 异或的结果为B非,置入的数B在74LS283的输入端为B的反码,且74LS283 的进位信号C0为1,其完成S=A+B(反码)+1,实际上其计算的结果为S=A-B 完成减法运算。由于译码显示器只能显示0-9,所以当A+B>9时不能显示,我们 在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001) 时加上6(0110)2,产生的进位信号送入译码器U12来显示结果的十位,U11 2 显示结果的个位。由于减法运算时两个一位十进制数相减不会大于10,所以不 会出现上述情况,用一片芯片U11即可显示结果。 方案二 由两异或门两与门和一或门组成全加器,可实现一位二进制加逻辑运算,四 位二进制数并行相加的逻辑运算可采用四个全加器串行进位的方式来实现,将低 位的进位输出信号接到高位的进位输入端,四个全加器依次串行连接,并将最低

四位二进制计数器

四位二进制计数器设计 班级:电子S102 姓名刘利勇学号:103511 一:实验目标 掌握用VHDL语言设计异步复位、同步使能的四位二进制加法计数器的编程方法, RST是异步清零信号,高电平有效;CLK是时钟信号;ENA是同步使能信号,高电平使能。OUTY是4位数据输出端。COUT是进位端。在复位信号为低电平,使能信号为高电平并且有时钟输入的时候,计数器自加,直到溢出,自动复位。 二:实验仪器 PC机一台,实验箱一套 三:实验步骤 1、新建一个工程目录,在该工程目录下新建一个文本输入文件。 2、在新建的文件中输入以下实验程序,并把该文件以CNT4B.VHD为文件名保存在该新建的工程文件夹下。

3、把该文本文件设置成当前文件。 4、运行编译器,检测该文本文件的错误,直到编译通过。 5、新建波形文件,在该文件中输入信号节点,设置仿真时间,运行仿真器,观测仿真波形。

6、软件仿真正确无误后,选择目标器件。 7、引脚锁定。其中时钟信号选择1引脚,使能引脚和复位引脚分别接一位拨动开关。溢出端接一个发光二极管,数据输出端接一个数码管。数据的高位接数码管的高位,数据的低位接数码管的低位。 9、重新编译。

10、编程下载,硬件调试。观测硬件结果,复位波动开关置为低电平,使能波动开关置为高电平,则数码管依次循环显示0到F,显示到F时,LED灯亮,说明发生溢出进位。当复位端有效时,计数器复位。使能端为低电平时,计数器不计数。 四、实验注意事项 1、注意输入程序后保存,以VHD为后缀名保存,不要使用默认保存格式,否则编译不通过。 2、引脚锁定时,要把输出端的高位和数码管的高位缩地,低位和低位锁定。这样才能按从0到F的顺序自加1显示。否则会数码管译码错误,会出现数字跳变。

相关文档
最新文档