8用串行口扩展并行输出口

8用串行口扩展并行输出口
8用串行口扩展并行输出口

CHANGZHOU INSTITUTE OF TECHNOLOGY 单片机实践报告

题目:单片机双机通讯

学院:延陵学院

班级:10电Y3

姓名:赵静

学号:10124536

一、实验目的

1、掌握89C51单片机异步串行口的通信原理和编程

2、掌握串行口工作方式1的使用及其波特率的计算

二、实验电路

元器件编号元器件名称说明U1、U3 AT89C52 AT89C52单片机

U2、U4 74HC245 8位总线驱动器

7SEG-BCD 7段BCD数码管显示器

四、实验内容及调试

串行口设为工作方式1,其中一个单片机为发送方,另外一个单片机为接收方。发送数据块大小为10字节,存放在发送方单片机内部RAM 的50H~59H 中,通过发送方单片机的串行口发出,再通过接收方单片机接收。接收的数据块存放到接收方单片机内部RAM 的60H~69H 中。实验中数据传输通过程序查询控制,查询数据有否已发送或已收到。发送数据之前,发送方单片机持续发送联络信号AAH 给接收的单片机,收到接收单片机的应答信号才开始发送数据块。发送和接收数据均传送到各自P2口的7SEG-BCD 数码管显示器上显示。

/*在P2口显示启动信号AA,回答信号BB,发送数据*/

#include

#include

#include

#include

#include

#include

#define byte unsigned char

#define uchar unsigned char

#define word unsigned int

#define uint unsigned int

#define ulong unsigned long

#define BYTE unsigned char

#define WORD unsigned int

#define TRUE 1

#define FALSE 0

sbit SEND_RECI_CTRL=P1^0; //SEND_RECI_LINE=1设置发送,=0设置接收

void initUart(void); //初始化串行口波特率,使用定时器2 void send(uchar idata *d); //发送函数

void receive(uchar idata *d); //接收函数

void initUart(void); //初始化串行口

void time(unsigned int ucMs); //延时单位:ms

uchar idata sbuf [10] _at_ 0x50; //发送内容

uchar idata rbuf [10] _at_ 0x60; //接收缓冲区

/**************** main c*************/

void main(void){

initUart(); //初始化串行口

time(10); //延时等待外围器件完成复位

if(SEND_RECI_CTRL){ //如果发送标志有效则初始化发送数组数据

uchar i;

for(i=0;i<10;i++){

sbuf[i]=0x20+i;

}

}

if(SEND_RECI_CTRL){ //发送

send(sbuf);

}

else{ //接收

receive(rbuf);

}

while(TRUE){}

}

/**********初始化串行口波特率********/

void initUart(void)

{

SCON=0x50; //串行口工作在方式1下TMOD=0x20;

PCON=0x0;

TH1=0xfd;

TCON=0x40; }

void send(uchar idata *d) //发送函数

{

uchar i;

do{

P2=0xaa;

SBUF=0xaa; //发送联络信号

while(TI==0){}TI=0;

while(RI==0){}RI=0;

}while((SBUF^0xbb)!=0); //乙机未准备好,继续联络P2=SBUF;time(500);

/*发送0x20,0x21....0x29*/

for(i=0;i<10;i++) {

P2=d[i]; time(500);

SBUF=d[i]; //发送一个数据

while(TI==0){}TI=0;

}

}

void receive(uchar idata *d) //接收函数

{

uchar i;

do{

while(RI==0){}RI=0;

P2=SBUF; time(500);

}while((SBUF^0xaa)!=0); //判断甲机请求否

P2=0xbb;time(500);

SBUF=0xbb; //发应答信号

while(TI==0){}TI=0;

while(1){

for(i=0;i<10;i++){

while(RI==0){}RI=0;

d[i]=SBUF; //接收一个数据

P2=d[i]; //显示数据

}

}

}

void delay_5us(void) //延时5us,晶振改变时只改变这一个函数{

_nop_();

_nop_();

//_nop_();

// _nop_();

}

/*delay_50us*/

void delay_50us(void) //延时50us

{

unsigned char i;

for(i=0;i<4;i++)

{

delay_5us();

}

}

/******************延时100us********************/

void delay_100us(void) //延时100us

{

delay_50us();

delay_50us();

}

/*延时单位:ms*/

void time(unsigned int ucMs)

{

unsigned char j;

while(ucMs>0)

{

for(j=0;j<10;j++)

delay_100us();

ucMs--;

}

}

六、运行效果

串口和并口的区别

并口、串口、COM口区别 并行接口,简称并口。并口采用的是25针D形接头。所谓“并行”,是指8位数据同时通过并行线进行传送,这样数据传送速度大大提高,但并行传送的线路长度受到限制,因为长度增加,干扰就会增加,数据也就容易出错,目前,并行接口主要作为打印机端口等。 并口的工作模式: 1:SPP(Standard Parallel Port)称为标准并口,它是最早出现的并口工作模式,几乎所有使用并口 的外设都支持该模式。 2:EPP(Enhanced Parallel Port)称为增强型高速并口,它是在SPP 的基础上发展起来的新型工作模式,也是现在应用最多的并口工作模式,目前市面上的大多数打印机、扫描仪都支持EPP 模式。 3:ECP(ExtendedCapability Port)即扩充功能并口,它是目前比较先进的并口工作模式,但兼容性问题也比较多,除非您的外设支持ECP 模式,否则不要选择该模式。 串口叫做串行接口,也称串行通信接口,即COM口。按电气标准及协议来分包括RS-232-C、RS-422、RS485、USB等。RS-232-C、RS-422与RS-485标准只对接口的电气特性做出规定,不涉及接插件、电缆或协议。USB是近几年发展起来的新型接口标准,主要应用于高速数据传输领域。 RS-232-C:也称标准串口,是目前最常用的一种串行通讯接口。它是在1970年由美国电子工业协会(EIA)联合贝尔系统、调制解调器厂家及计算机终端生产厂家共同制定的用于串行通讯的标准。它的全名是“数据终端设备(DTE)和数据通讯设备(DCE)之间串行二进制数据交换接口技术标准”。传统的RS-232-C接口标准有22根线,采用标准25芯D型插头座。后来的PC上使用简化了的9芯D型插座。现在应用中25芯插头座已很少采用。现在的电脑一般有两个串行口:COM1和COM2,你到计算机后面能看到9针D形接口就是了。现在有很多手机数据线或者物流接收器都采用COM口与计算机相连。

RS-232多串口扩展器件SP2538及其应用

RS-232多串口扩展器件SP2538及其应用摘要:SP2538是采用低功耗CMOS工艺生产的专用串行口(RS232)扩展芯片,它可将单片机或DSP等原有的单UART串口扩展至5个全双工UART口,从而解决了此类器件串口太少的问题。文中介绍了SP2538的性能特点,引脚功能及应用方法,并以单片机为例给出了多串口扩展的硬件电路及相应的通信程序。关键词:RS-232;串口扩展;单片机;SP25381概述SP2538是专用低功耗串行口扩展芯片,该芯片主要是为解决当前基于UART串口通信的外围智能模块及器件较多,而单片机或DSP原有的UART串口又过少的问题而推出的。利用该器件可将现有单片机或DSP的单串口扩展至5个全双工串口。与其它具有多串口的单片机或串口扩展方案相比,采用SP2538实现的多串口扩展方案,具有扩展串口数量多、对扩展单片机的软硬件资源占用少、使用方法简单、待扩展串口可实现较高的波特率、成本低廉、性价比高等优点。同时,它还具有如下特点:●可将单UART串口扩展为5个UART串口;●工作速率范围宽,5个子串口可产生2400bps~9600bps之间的任意波特率;●可全双工工作,母串口和所有子串口都支持全双工UART传输模式;●工作电源电压范围宽:3.3V~5.5V;●典型工作电流为4.6mA(子串口速率为9600bps、VCC为3.3V时); ●资源占用少,除占用上位机原有串行口中断外,不占用任何中断资源;●具有节电模式,进入节电模式后,其典型静态电流约8μA;●上位机发送数据可自动唤醒;●输出误差小,所有子串口的输出波特率误差均小于0.08%;●误码率低于10-9(所有串行口数据输入波特率误差小于等于±2%);●接收范围宽,波特率误差小于2.5%时,子串口即可完全正确接收;母串口的接收范围更宽,并可自适应56000bps和57600bps两种标准波特率(fosc—in为20.0MHz时);●可用上位机进行监控,并具有上电复位和看门狗监控输出,适用于没有看门狗或需要更多重监控的高可靠上位机程序监控系统。2引脚功能SP2538具有双列直插DIP及双列贴片SOIC两种封装形式。后缀为SP2538xxH的复位时输出高电平而后缀为SP2538xxL的复位时输出低电平,可分别适用高、低电平复位的单片机。图1给出了DIP封装高电平复位SP2538DPH的外形及引脚排列图,各引脚的功能说明列于表1。[!--empirenews.page--]表1 SP2538引脚说明引脚名称引脚编号引脚类型引脚描述TX5~TX01、3、5、7、9、14Output 串口5~串口0数据发送(连接上位机RX口)RX5~RX02、4、6、8、10、13Input串口5~串口0数据接收(连接上位机TX口)VCC111- - -电源1(逻辑电路电源)+RST12Output复位控制输出(适用于高电平复位的MCU)VCC215- - -电源2(时钟电路电源)GND16- - -电源地OSCI17Input时钟输入(用于波特率发生器等)OSCO18Output时钟输出ADRI0~ADRI219~21Input母串口(RX5)数据接收地址0~2ADRO0~ADRO222~24Output母串口(RX5)数据发送地址0~23应用说明3.1母串口收发数据过程与时序(1)上位机接收来自母串口的数据上位机从母串口接收到一个字节数据后,会立即读取SP2538的输出地址ADRO2~ADRO0(编码方式为:8-4-2-1码),然后根据输出地址的编码即可判断接收到的数据来自哪个子串口,上位机接收来自母串口的数据时序如图2所示。(2)上位机向母串口发送数据发送数据时,上位机首先通过串口写入欲发送数据的子串口号,即先由上位机的串口发送数据地址ADRI2~ADRI0(编码方式:8-4-2-1码),然后将欲发送的数据由上位机串口发出。需要注意的是:母串口的波特率是子串口的6倍,即上位机在连续向母串口发送6个字节的时间内,子串口才能发送完一个字节。上位机向母串口发送数据的时序如图3所示。表2列出了SP2538的操作时限要求。表2 SP2538操作时限时限内容说明最小值典型值最大值Tpwr-up上电复位延时150ms……Treset芯片指令复位时间……50μsTwdt-rst看门狗溢出复位脉冲宽度80ms……Taddr-in数据接收地址保持时间10ns……Twake-up芯片唤醒延时……9msTaddr-hold数据发送地址保持时间(2/fosc-in)ms……Twdt-over看门狗溢出周期800ms……3.2其它说明母串口和所有子串口内部均具

串口扩展,uart扩展,串口扩展485接口

串口扩展方案 基于VK3366的串口扩展串口方案 二、技术领域 电子信息 通信工程 三、现有技术的技术方案 3.1 软件模拟法: 可根据串行通讯的传送格式,利用定时器和主机的I/O口来模拟串行通讯的时序,以达到扩展串口的目的。接收过程中需要检测起始位,这可以使用查询方式或者在端口具有中断功能的主机中也可以使用端口的中断进行处理。接收和发送过程中,对定时的处理既可以使用查询方式也可以使用定时器中断方式。为了确保数据的正确性,在接收过程中可以在检测异步传输的起始信号处加上一些防干扰处理,如果是无线传输系统,在接收每个位时可以采用多次采样。对于有线系统来说,1次采样就够了,软件模拟串口还是有它自身的一些不足,面临着采样速度比较慢,采样方式还是不如硬件采样方式准确,抗干扰能力也差很多。 3.2基于TL16C554的串行口扩展 TL16C554是TI公司生产的4通道异步收发器集成芯片。对TL16C554串行通道的控制,是通过对控制寄存器LCR、IER、DLL、DLM、MCR和FCR编程来实现的。这些控制字决定字符长度、停止位的个数、奇偶校验、波特率以及调制解调器接口。控制寄存器可以任意顺序写入,但是IER必须最后一个写入,因为它控制中断使能,如果后面还需要调整数据格式,波特率这些就比较困难,操作也不够灵活。串行通道内的波特率发生器(BRG)允许时钟除以1至65535之间的任意数,BRG根据其不同的三种通用频率中的一种来决定标准波特率。 3.3 基于GM8123/25系列芯片的串行口扩展 GM8123/25系列串口扩展芯片可以全硬件实现串口扩展,通讯格式可设置,并与标准串口通讯格式兼容。GM8125可扩展5个标准串口,通过外部引脚选

第九章并行接口与串行接口习题选解

9.4写出下列两种情况下8,}55A的工作方式控制字(包括I/O方式控制字和必要的按位置位/复位控制字)。 (1) 8255A用做键盘和终端地址接口,如图9 ..4所示。. (2)8255A用做基本软盘接日,如图9.5所示。 解:(1)由图9.4可知:A口工作在方式1输人,采用中断读键盘,C口的PC4 , PC5为A口方式1输人提供固定的握手联络信号,而PC6,PC7用于输出“LT忙”和“测试LT",所以C口高4位工作在方式。输出,B口用于输人终端地址,所以B口应工作在方式。输人。由此分析可知,8255A的初始化包括设置工作方式和开中断操作,其控制字为: 工作方式控制字:1011001 x B 按位置位/复位控制字(开放中断INTEA=1,即PC4置位):00001001B (2) A口工作在方式2中断方式输人/输出,B口和C口低4位工作在方式0输出,所以8255A的初始化也包括设置工作方式和开中断操作,其控制字为: 工作方式控制字:11 x x x 000B 开放输人中断按位置位/复位控制字,即PC4置位:0000l001B 开放输出中断按位置位/复位控制字,即PC6置位:00001101B 9.5设8255A的端口A,B,C和控制寄存器的地址为F4H,F5H,F6H,F7H,要使A口工作于方式0输出,B口工作于方式1输人.C口上半部输人,下半部输出,且要求初始化时使PC6=0.试设计82SSA与PC系列机的接A电路,并编写初始化程序。 解:82SSA与FC系列机的接口电路如图9.5所示。初始化程序如下:· MO V A L , 10001110F3 ;方式字 OUT 0F7H, AL MOV AL,00000110B ;PC6=0 OUT 0F7H, AL MOV AL,00000101 ;开中断 OUT 0F7H,AL 9.6在PC系列微机系统中,用8255A做某快速启停电容式纸带机接口的硬件连接如图9.7

51单片机的串行口扩展方法

51单片机的串行口扩展方法 -------------------------------------------------------------------------------- 摘要:在以单片机为核心的多级分布式系统中,常常需要扩展单片机的串行通信口,本文分别介绍了基于SP2538专用串行口扩展芯片及Intel8251的两种串行口扩展方法,并给出了实际的硬件电路原理及相应的通信程序段。 关键词:串口扩展;单片机;SP2538;Intel8251 1 引言 在研究采场瓦斯积聚模拟试验台的过程中,笔者设计了主从式多机采控系统结构。主从式多机控制系统是实时控制系统中较为普遍的结构形式,它具有可靠性高,结构灵活等优点。当选用单串口51单片机构成这种主从式多机系统时,51单片机一方面可能要和主机Computer通信,一方面又要和下位机通信,这时就需要扩展串行通道。本文具体介绍了两种串行通道的扩展方法。 2 串行口的扩展方法 常用的标准51单片机内部仅含有一个可编程的全双工串行通信接口,具有UART的全部功能。该接口电路不仅能同时进行数据的发送和接收,也可作为一个同步移位寄存器使用。当以此类型单片机构成分布式多级应用系统时,器件本身的串口资源就不够用了。笔者在实际开发中,查阅了有关资料,总结出如下两种常用而有效的串行通道扩展方法。 2.1 基于SP2538的扩展方法 SP2538是专用低功耗串行口扩展芯片,该芯片主要是为解决当前基于UART串口通信的外围智能模块及器件较多,而单片机或DSP原有的UART串口又过少的问题而推出的。利用该器件可将现有单片机或DSP的单串口扩展至5个全双工串口。使用方法简单、高效。 在应用SP2538扩展串行通道时,母串口波特率K1=2880*Fosc_in,单位是MHz,且Fosc_in 小于20.0MHz, 在SP2538输入时钟Fosc_in =20.0MHz时母串口可自适应上位机的56000bps 和57600bps两种标准波特率输入。子串口波特率K2=480*Fosc_in。 母串口和所有子串口都是TTL电平接口,可直接匹配其他单片机或TTL数字电路,如需连接PC机则必须增加电平转换芯片如MAX202 、MAX232 等。SP2538具有内置的上电复位电路和可关闭的看门狗*电路。上位机写命令字0x10可实现喂狗,写命令字0x15关闭看门狗,初次上电后看门狗处于激活状态或写命令字0x20激活看门狗*功能。上位机可通过芯片复位指令0x35在任何时候让芯片进行指令复位,也可通过芯片睡眠指令0x55在任何时候让芯片进入微功耗睡眠模式以降低系统功耗。初次上电后芯片不会自行进入睡眠模式,但只能由上位机通过母串口任意发送一个字节数据将其唤醒,其他子串口不具备这一功能。 图(1)是AT89C52单片机与SP2538的电路连接,图中,AT89C52的全双工串口与SP2538的母串口5相连,该串口同时也作为命令/数据口。SP2538的ADRI0、ADRI1、ADRI2分别与AT89C52的P2.3、P2.4、P2.5口相连,可用于选择发送数据是选择相应的串口0~4;ADRO0、ADRO1、ADRO2与P2.0、P2.1、P2.2相连,用于判断接收的数据来自哪一个串口。SP2538的时钟频率选为20.0MHZ,此时母串口5的波特率为57600bps,串口0~4的波特率为9600bps。

串行并行接口差别

串口与并口的区别 传输方式 串口形容一下就是一条车道,而并口就是有8个车道同一时刻能传送8位(一个位元组)数据。但是并不是并口快,由于8位通道之间的互相干扰。传输时速度就受到了限制。而且当传输出错时,要同时重新传8个位的数据。串口没有干扰,传输出错后重发一位就可以了。所以要比并口快。串口硬盘就是这样被人们重视的。从原理上讲,串行传输是按位传输方式,只利用一条信号线进行传输,例如:要传送一个字节(8位)数据,是按照该字节中从最高位逐位传输,直至最低位。 而并行传输是一次将所有一字节中8位信号一并传送出去。自然最少需要8根信号线。 如果按每次传送的数据流量来看,并行传输要远快于串口,在电脑发展初期,由于数据传输速率不是很高,并行传输还是很快的。 发展趋势 并口传输的发展主要存在以下两个问题: 1、干扰问题。 干扰产生的根本原因是由于传输速率太快,一般达到100M以上,信号线上传递的频率将超过100MHz。想想看,调频收音机的频率也不过 88~108MHz,也就是说,若用并行传输的话,是8根天线放在一起来传输信号,不发生干扰才怪。但如果加强屏蔽,减小信号线间的耦合电容,是可以继续增大传输速率的,不过这将变得不现实,因为这必然导致信号线将耗用更多金属,截面积更大。但这并不是不能解决的问题。 2、同步问题(最主要问题) 并行传输时,发送器是同时将8位信号电平加在信号线上,电信号虽然是以光速传输的,但仍有延迟,因此8位信号不是严格同时到达接受端,速率小时,由于每一字节在信号线上的持续时间较长,这种到达时间上的不同步并不严重,随着传输速率的增加,与8位信号到达时间的差异相比,每一字节的持续时间显得越来越短,最终导致前一字节的某几位与后一字节的几位同时到达接受端,这就造成了传输失败,而且随着信号线的加长这种现象还会越发严重,直至无法使用。——这是并口传输的致命缺点。 串行传输由于只有一位信号在信号线上,没有位同步问题,因此传送频率可以继续提高,当前传输速率已经达到1Gb/s(1000Mb)以上,而且还在提高,而并行传输在100Mb/s左右就停滞不前了,可以预见,串行传输

《单片机原理及应用》习题库.

单位:广东松山职业技术学院 电气工程系自动化教研室 编者:田亚娟等 审核:《单片机原理及应用》精品课程项目组适用专业:电气自动化技术等专业 一、填空题 1.单片机与普通计算机的不同之处在于其将、存储器和部分集成于一块芯片之上。 2. CPU 主要由器和器组成。 CPU 中的 3. MSC-51系列单片机中,片内无 ROM 的机型是 ,有 4KB ROM的机型是 4KB EPROM 的机型是 4. -32的补码为 11100000 B,补码 11011010B 代表的真值为 _-38__D。 5.原码数 ,原码数。 6. 100的补码 , -100的补码 7.在 8031单片机内部,其 RAM 高端 128个字节的地址空间称为 但其中仅有 _21_个字节有实际意义。 8.通常单片机上电复位时 , ,通用寄存器则采用第组,这一组寄存器的地址范围是从 _00 H~_07_H。 9.若 PSW 为 18H ,则选取的是第组通用寄存器。

10. 8031单片机复位后 R4所对应的存储单元地址为 ,因上电时。 11. 若 A 中数据为 63H ,那么 PSW 的最低位(即奇偶位 P 为 12. 在微机系统中, CPU 是按照 13. 在 8031单片机中,使用 P2、 P0口传送 P0口来传送信号,这里采用的是总线复用技术。 14. 堆栈遵循的数据存储原则,针对堆栈的两种操作为和 15. 当 8051地 RST 端上保持 8051即发生复位。 16. 使用 8031单片机时需将 EA 引脚接 _低 __电平,因为其片内无程序存储器。 17. 8位机中的补码数 80H 和 7EH 的真值分别为和 18. 配合实现“ 程序存储自动执行” 的寄存器是 ,对其操作的一个特别之处是 指令后 PC 内容会自动加 1 。 19. M CS-51单片机 PC 的长度为 SP 的长度为位,数据指针 DPTR 的长度为 20. 8051单片机的 RST 引脚的作用是对单片机实行复位操作 ,其操作方式有 上电自动复位和按键手动复位两种方式。 21. I /O端口与外部设备之间传送的信息可分为 22. 8051片内有 256B 的 RAM , 可分为四个区, 00H ~1FH 为区; 20H~2FH为寻址区; 30H~7FH为堆栈、数据缓冲区; 80H~FFH为特殊功能寄存器区。 23. M CS-51单片机系列有上电复位时, 同级中断源的优先级别从高至低为 断源 0 、定时器 0 、外部中断 1 、定时器 1 和串行口 ,若 IP=00010100B,则优先级别最高者为外部中断 1 、最低者为定时器 1 。

串口并口通讯针脚功能一览表

并口针脚功能一览表 针脚功能针脚功能 1 选通端,低电平有效 10 确认,低电平有效 2 数据通道0 11 忙 3 数据通道1 12 缺纸 4 数据通道2 13 选择 5 数据通道3 14 自动换行,低电平有效 6 数据通道4 15 错误,低电平有效 7 数据通道5 16 初始化,低电平有效 8 数据通道6 17 选择输入,低电平有效 9 数据通道7 18到25 地线 25针串口功能一览表 针脚功能针脚功能 1 空 11 空 2 发送数据 12到17 空 3 接收数据 18 空 4 发送请求 19 空 5 发送清除 20 数据终端准备完成 6 数据准备完成 21 空 7 信号地线 22 振铃指示 8 载波检测 23到24 空 9 空 25 空 10 空 9针串口功能一览表 针脚功能针脚功能 1 载波检测 6 数据准备完成 2 接收数据 7 发送请求 3 发送数据 8 发送清除 4 数据终端准备完成 9 振铃指示 5 信号地线 联机线的连接方法 联机线主要用于直接把两台电脑连接,分为串口(com1,com2)联机线和并口(lpt1)联机线。比较早一点的AT架构的电脑的串口有为9针,和25针两种,现在的ATX架构的电脑两个串口全部是9针。打印机的接口也是25针的但功能、外观上与AT架构的25针串口不一样。于是联机线就分为4种(9针对9针串口联机线,9针对25针串口联机线,25针对25针串口联机线,25针对25针并口联机线)其中3种串口连接,一种并口连接。并口联机线和串口联机线最大的差别就是速度,前者明显快于后者。这些直接电缆连接线的两个头完全相同可以互换的连线方法如下表: 首先我们必须准备2个连接头,以及大约1.5米的联机线,联机线应该选用带屏蔽的多芯线,把多余未用的芯全部接在接头的金属壳(地线)作为屏蔽用。 串口连机线一览表

UART多串口扩展器SP2349及其应用_王鹏

东北电力学院学报 第24卷第6期 Journal Of Northeast China Vol.24,No.6 2004年12月Institute Of Electric Power Engineering Dec.,2004 文章编号:1005-2992(2004)06-0071-03 UART多串口扩展器SP2349及其应用 王 鹏,佟 科,李贻涛 (东北电力学院电力工程,吉林吉林132012) 摘 要:为了满足数字化及智能化要求,目前以单片机为核心的智能化测控系统及电子产品应当具 备网络通信功能,而普通单片机仅有单个U AR T,远不能满足联网后同时和外部器件用U ART通信的 要求。SP2349是一种新颖的串口扩展器件,可将8位、16位、32位单片机的一个高波特率的UA RT扩 展为3个U ART,解决了普通单片机UA RT串口太少的问题,以很低的成本扩展了系统的功能。给出 该器件的主要特性、引脚说明及应用说明,并以A T89C52单片机为例给出多串口扩展应用电路及相应 程序框图。 关 键 词:串口扩展;单片机 中图分类号:T N492 文献标识码:A 当前,以单片机为核心构成的智能化测控系统及电子产品不断涌现,为了满足数字化及智能化要求,许多外围电路功能模块、部件、器件及传感器也具备了UART串口通信功能。而现阶段的8位、16位、32位单片机却大部分仅提供一个UART串口,这样就很难满足系统中一方面要与具有UART功能的串口部件通信,另一方面又要与上位机通信的要求。利用SP2349多串口扩展器,可很好地解决此问题。 1 工作特性 SP2349是采用低功耗CMOS工艺设计的UART多串口扩展芯片。该器件可将一个高波特率的UA RT串口扩展为3个较高波特率的UART串口,从而为系统需要多个串口时提供了很好的解决方案。该器件的主要特性如下: (1)不必购买学习新的开发工具利用原有仿真器和编程器即可; (2)使用简单采用独创的所见即所得的设置方法设置芯片的所有工作模式; (3)占用资源少不占用宝贵的外部中断资源仅复用已有的串口中断资源; (4)接口简单仅占用单片机4条输入/输出控制线I/O; (5)睡眠功能降低系统功耗提高系统抗干扰性能; (6)子串口波特率最高可达115.2kbps; (7)可由软件设置子串口波特率三个子串口波特率可独立软件设置; (8)可由软件关闭一个子串口另外一个子串口波特率可加倍; (9)可由软件设置为10位或11位数据格式用于奇偶效验或多机通信; (10)看门狗输出取代上位机外挂的看门狗监控IC大幅降低生产成本; (11)宽工作速率75Bps~115.2kbps; (12)可节电模式进入节电模式后典型静态电流约0.5μA; 收稿日期:2004-10-14 作者简介:王 鹏(1954-),男,东北电力学院电力工程系,高级工程师.

CD4052 单片机串行口扩展技术应用

CD4052 单片机串行口扩展技术应用 CD4052 是一种数字控制的模拟数据选择/ 分配器,本文将它用于UART串口扩展,解决了普通单片机UART串口太少的问题。文中给出该器件的主要特性、引脚说明及电气特性,并以A T89C51 单片机为例给出多串口扩展应用电路。 1 概述 当前,以单片机为核心构成的智能化测控系统及电子产品不断涌现,为了满足数字化及智能化要求,许多外围电路功能模块、部件、器件及传感器也具备了UART 串口通信功能,而现阶段的8 位、16 位、32位单片机却大部分仅提供一个UART 串口,这样就很难满足系统中一方面要与具有UART 功能的串口部件通信,另一方面又要与上位机通信的要求。利用CD4052 做多串口扩展器,可很好地解决此问题。 2 封装及引脚功能 该器件具有SOP、SOIC、TSSOP和PDIP四种封装形式,皆是16 个引脚。图1 所示为其PDIP封装引脚分布图,图2 是CD4052 的逻辑图,数字控制真值表见表1。 图1 CD4052PDIP引脚分布图 3 工作特性 CD4052 模拟数据选择/ 分配器是数字控制的模拟开关,具有低导通阻抗和非常低的关断泄漏电流。315V 的数字信号可以控制15VP- P 的模拟信号。例如,若VDD = 5V , VSS = 0V , VEE = - 5V ,则的数字信号可以控制- 5V + 5V 的模拟信号输入输出。数据选择器在整个VDDVSS 和VDDVEE 范围具有非常低的静态功耗,而且与控制信号的逻辑状态无关。 图2 CD4052 的逻辑图

表1 真值表 CD4052 是一个独立的4 通道数据选择器,具有二进制控制输入端A、B 和一个禁止输入INH。A、B的四种二进制组合状态用来在四对通道中选择其中的一对,当逻辑“1”加到INH 输入端时,所有的通道都关闭。CD4052 的器件特性为: 1) 宽范围的数字和模拟信号电平:数字315V ,模拟可达15VP- P。 2) 低导通阻抗:在VDD - VEE = 15V 的条件下,整个15V P - P信号输入范围的典型值为80Ω。

串口和并口及引脚定义(精)

串口和并口的区别悬赏分:0 - 解决时间:2006-10-19 10:01 电脑25针和9针的口哪个是串口哪个是并口有什么区别啊提问者: gr_honey - 三级最佳答案RS-232串行接口定义计算机侧为25针公插: 设备侧为25针母插: 引脚定义 Pin Name ITU-T Dir Description 1 GND 101 Shield Ground 2 TXD 103 Transmit Data 3 RXD 104 Receive Data 4 RTS 105 Request to Send 5 CTS 106 Clear to Send 6 DSR 107 Data Set Ready 7 GND 102 System Ground 8 CD 109 Carrier Detect 9 - - RESERVED 10 - - RESERVED 11 STF 126 Select Transmit Channel 12 S.CD ? Secondary Carrier Detect 13 S.CTS ? Secondary Clear to Send 14 S.TXD ? Secondary Transmit Data 15 TCK 114 Transmission Signal Element Timing 16 S.RXD ? Secondary Receive Data 17 RCK 115 Receiver Signal Element Timing 18 LL 141 Local Loop Control 19 S.RTS ? Secondary Request to Send 20 DTR 108 Data Terminal Ready 21 RL 140 Remote Loop Control 22 RI 125 Ring Indicator 23 DSR 111 Data Signal Rate Selector 24 XCK 113 Transmit Signal Element Timing 25 TI 142 Test Indicator PC/AT 机上的串行口是 9 针公插座,引脚定义为: Pin Name Dir Description 1 CD Carrier Detect 2 RXD Receive Data 3 TXD Transmit Data 4 DTR Data Terminal Ready 5 GND System Ground 6 DSR Data Set Ready 7 RTS Request to Send 8 CTS Clear to Send 9 RI Ring Indicator PC/XT 机上的串行口是 25 针公插座,引脚定义为: Pin Name Dir Description 1 SHIELD - Shield Ground 2 TXD Transmit Data 3 RXD Receive Data 4 RTS Request to Send 5 CTS Clear to Send 6 DSR Data Set Ready 7 GND - System Ground 8 CD Carrier Detect 9 n/c - 10 n/c - 11 n/c - 12 n/c - 13 n/c - 14 n/c - 15 n/c - 16 n/c - 17 n/c - 18 n/c - 19 n/c - 20 DTR Data Terminal Ready 21 n/c - 22 RI Ring Indicator 23 n/c - 24 n/c - 25 n/c - PC 并行接口定义 PC 并行接口外观是 25 针母插座: Pin Name Dir Description 1 /STROBE Strobe 2 D0 Data Bit 0 3 D1 Data Bit 1 4 D2 Data Bit 2 5 D3 Data Bit 3 6 D4 Data Bit 4 7 D5 Data Bit 5 8 D6 Data Bit 6 9 D7 Data Bit 7 10 /ACK Acknowledge 11 BUSY Busy 12 PE Paper End 13 SEL Select 14 /AUTOFD Autofeed 15 /ERROR Error 16 /INIT Initialize 17 /SELIN Select In 18 GND Signal Ground 19 GND Signal Ground 20 GND Signal Ground 21 GND Signal Ground 22 GND Signal Ground 23 GND Signal

PS2、USB、DB-9、网卡、串口、并口、VGA针脚定义及接口定义图

PS2、USB、DB-9、网卡、串口、并口、VGA针脚定义及接口定义图 以下为仅为主板各接口的针脚定义,外接出来的设备接口则应与主板对应接口针脚定义相反,如鼠标的主板接口定义为6——数据,4——VCC,3——GND,1——时钟,鼠标线的接口定义则与之相反为5——数据,3——VCC,4——GND,2——时钟;其他外接设备与此相同。 首先是ATX 20-Pin电源接口电源接口,根据下图你可方便判断和分辨。现在为提高CPU 的供电,从P4主板开始,都有个4P接口,单独为CPU供电,在此也已经标出。

鼠标和键盘绝大多数采用PS/2接口,鼠标和键盘的PS/2接口的物理外观完全相同,初学 者往往容易插错,以至于业界不得不在PC'99规范中用两种不同的颜色来将其区别开,而 事实上它们在工作原理上是完全相同的,从下面的PS/2接口针脚定义我们就可以看出来。

上图的分别为AT键盘(既常说的大口键盘),和PS2键盘(即小口键盘),如今市场上PS2键盘的数量越来越多了,而AT键盘已经要沦为昨日黄花了。因为键盘的定义相似, 所以两者有共同的地方,各针脚定义如下: 1、DATA 数据信号 2、空 3、GND 地端 4、+5V 5、CLOCK 时钟 6 空(仅限PS2键盘) USB(Universal Serial Bus,通用串行总线)接口是由Compaq、IBM、Microsoft等多家公 司于1994年底联合提出的接口标准,其目的是用于取代逐渐不适应外设需求的传统串、 并口。1996年业界正式通过了USB1.0标准,但由于未获当时主流的Win95支持(直到 Win95 OSR2才通过外挂模块提供对USB1.0的支持)而未得到普及,直到1998年 USB1.1标准确立和Win98内核正式提供对USB接口的直接支持之后,USB才真正开始 普及,到今天已经发展到USB2.0标准。 USB接口的连接线有两种形式,通常我们将其与电脑接口连接的一端称为“A”连接头,而将连接外设的接头称为“B”连接头(通常的外设都是内建USB数据线而仅仅包含与电脑相 连的“A”连接头)。 USB接口是一种越来越流行的接口方式了,因为USB接口的特点很突出:速度快、兼容 性好、不占中断、可以串接、支持热插拨等等,所以如今有许多打印机、扫描仪、数字摄 像头、数码相机、MP3播放器、MODEM等都开始使用USB做为接口模式,USB接口定 义也很简单: 1 +5V 2 DATA-数据- 3 DATA+数据+ 4 GND 地 主板一般都集成两个串口,可Windows却最多可提供8个串口资源供硬件设置使用(编号COM1到COM8),虽然其I/O地址不相同,但是总共只占据两个IRQ(1、3、5、7共享 IRQ4,2、4、6、8共享IRQ3),平常我们常用的是COM1~COM4这四个端口。我们经 常在使用中遇到这个问题——如果在COM1上安装了串口鼠标或其他外设,就不能在 COM3上安装如Modem之类的其它硬件,这就是因为IRQ设置冲突而无法工作。这时玩 家们可以将另外的外设安装在COM2或4。 标准的串口能够达到最高115Kbps的数据传输速度,而一些增强型串口如

基于SPI的多串口扩展方案

基于SPI的多串口扩展方案(1扩8) 前言 随着电子技术的发展,以微处理器(MCU),PC机组成的主从分布式测控系统已成为当今复杂的测控系统的典型解决方案。单片机以其独特的串口通信功能为主,从设备之间的数据传输提供了便利。但是51系列单片机只提供了一个全双工的串行通信接口,这对于一个实时性要求较高,测控功能复杂的系统而言是一个美中不足之处。在我们设计的智能密集测控系统中,就需要测控工具有多个实时全双工的串行通信接口。我们现在只能是选择有多个串口的单片机或者是进行串口扩展,选择多串口的单片机肯定成本比较高,而且局限性比较大;那么最好的方案还是进行串口扩展。根据本人的对多款串口扩展芯片在性能、价格上的比较,个人认为维肯电子的VK3266这款串口扩展芯片还是相当不错的,下面我们就也VK3266来对单片机的串口进行扩展。以单片机的一个串口,扩展为4个全双工的串口。

1、系统原理框图 2、 硬件原理图 硬件原理框图介绍:本设计主要依赖的硬件是2块VK3224串口扩展芯片实现一个spi 接口扩展8个uart 接口,外部电路实现简单。主要是晶振电路,复位电路,spi 接口电路。

3、VK3224芯片介绍 1.产品概述 VK3224是SPI TM接口的4通道UART器件。VK3224实现SPI桥接/扩展4个串口(UART)的功能。 扩展的子通道的UART具备如下功能特点: 每个子通道UART的波特率、字长、校验格式可以独立设置,最高可以提供1Mbps的通信速率。 每个子通道可以独立设置工作在IrDA红外通信。 每个子通道具备收/发独立的16 BYTE FIFO,FIFO的中断为4级可编程条件触发点。 VK3224采用SOP20绿色环保的无铅封装,可以工作在2.5~5.5V的宽工作电压范围,具备可配置自动休眠/唤醒功能。 [注]:SPI TM为MOTOLORA公司的注册商标。 2.基本特性 2.1 总体特性 低功耗设计,可以配置自动休眠,自动唤醒模式 宽工作电压设计,工作电压为 2.5V~5.5V 精简的配置寄存器和控制字,操作简单可靠 提供工业级和商业级产品 高速CMOS工艺 采用符合绿色环保政策的SOP20无铅封装

串口和并口的区别

很多朋友想知道串口和并口的区别吧下面来简单附图说明下先来张并口的图也称IDE接口 再来张串口的也称STAT接口 目前新的硬盘刻录机等设备都采用这种串口的了 串口比并口能传输速度快貌似

下面附篇文章: 估计都看不懂不如我上面的通俗易懂嘿嘿 PS2、USB、DB-9、网卡、串口、并口、VGA针脚定义及接口定义图 以下为仅为主板各接口的针脚定义,外接出来的设备接口则应与主板对应接口针脚定义相反,如鼠标的主板接口定义为6——数据,4——VCC,3——GND,1——时钟,鼠标线的接口定义则与之相反为5——数据,3——VCC,4——GND,2——时钟;其他外接设备与此相同。 首先是ATX 20-Pin电源接口电源接口,根据下图你可方便判断和分辨。现在为提高CPU的供电,从P4主板开始,都有个4P接口,单独为CPU供电,在此也已经标出。

鼠标和键盘绝大多数采用PS/2接口,鼠标和键盘的PS/2接口的物理外观完全相同,初学者往往容易插错,以至于业界不得不在PC'99规范中用两种不同的颜色来将其区别开,而事实上它们在工作原理上是完全相同的,从下面的PS/2接口针脚定义我们就可以看出来。

上图的分别为A T键盘(既常说的大口键盘),和PS2键盘(即小口键盘),如今市场上PS2键盘的数量越来越多了,而A T键盘已经要沦为昨日黄花了。因为键盘的定义相似,所以两者有共同的地方,各针脚定义如下: 1、DA TA数据信号 2、空 3、GND 地端 4、+5V 5、CLOCK 时钟 6 空(仅限PS2键盘) USB(Universal Serial Bus,通用串行总线)接口是由Compaq、IBM、Microsoft等多家公司于1994年底联合提出的接口标准,其目的是用于取代逐渐不适应外设需求的传统串、并口。1996年业界正式通过了USB1.0标准,但由于未获当时主流的Win95支持(直到Win95 OSR2才通过外挂模块提供对USB1.0的支持)而未得到普及,直到1998年USB1.1标准确立和Win98内核正式提供对USB接口的直接支持之后,USB才真正开始普及,到今天已经发展到USB2.0标准。 USB接口的连接线有两种形式,通常我们将其与电脑接口连接的一端称为“A”连接头,而将连接外设的接头称为“B”连接头(通常的外设都是内建USB数据线而仅仅包含与电脑相连的“A”连接头)。 USB接口是一种越来越流行的接口方式了,因为USB接口的特点很突出:速度快、兼容性好、不占中断、可以串接、支持热插拨等等,所以如今有许多打印机、扫描仪、数字摄像头、数码相机、MP3播放器、MODEM等都开始使用USB做为接口模式,USB接口定义也很简单: 1 +5V 2 DA TA-数据- 3 DA TA+数据+ 4 GND 地 主板一般都集成两个串口,可Windows却最多可提供8个串口资源供硬件设置使用(编号COM1到COM8),虽然其I/O地址不相同,但是总共只占据两个IRQ(1、3、5、7共享IRQ4,

第9章习题解答

第9章思考题及习题9参考答案 一、填空 1. 扩展一片8255可以增加个并行口,其中条口线具有位操作功能; 答:3,8 2. 单片机扩展并行I/O口芯片的基本要求是:输出应具有功能;输入应具有 功能; 答:数据锁存,三态缓冲 3. 从同步、异步方式的角度讲,82C55的基本输入/输出方式属于通讯,选通输入/输出和双向传送方式属于通讯。 答:同步,异步 二、判断 1. 82C55为可编程芯片。对 2. 82C55具有三态缓冲器,因此可以直接挂在系统的数据总线上。错 3. 82C55的PB口可以设置成方式2。错 4.扩展I/O占用片外数据存储器的地址资源。对 5.82C55的方式1是无条件的输入输出方式。错 6.82C55的PC口可以按位置位和复位。对 7.82C55的方式0是无条件的输入输出方式。对 三、单选 1. AT89S52的并行I/O口信息有两种读取方法:一种是读引脚,还有一种是。 A.读CPU B. 读数据库 C. 读A累加器 D.读锁存器 答:D 2. 利用单片机的串行口扩展并行I/O接口是使用串行口的。 A.方式3 B. 方式2 C. 方式1 D. 方式0 答:D 3. 单片机使用74LSTTL电路扩展并行I/O接口,输入/输出用的74LSTTL芯片为。 A. 74LS244/74LS273 B. 74LS273/74LS244 C. 74LS273/74LS373 D. 74LS373/74LS273 答:A 4. AT89S52单片机最多可扩展的片外RAM为64KB,但是当扩展外部I/O口后,其外部RAM 的寻址空间将。 A. 不变 B. 变大 C. 变小 D.变为32KB

用多路复用器扩展MCU串口

用多路复用器扩展MCU串口 多微控制器(MCU)/微机组成的分布式、主从式系统是现代复杂通信、控制系统的典型解决方案。分布式环境下的多机协同,要求系统状态和控制信息在多机间进行快速传递,这通常借助简单有效的串行通信方式。现有的微控制器一般所带的串行接口非常适用于点对点通信的场合;但对于实时性要求高的多机通信场合,这类接口必须在串口数量和功能上进行扩展,才能满足对实时性要求较高的应用场合的需要。 ?本文讨论了一种适用于多机实时环境下的、新的可重配置串口扩展方案。图1为本方案框图。多路复用器是本方案的硬件核心。方案的要点是利用Mux动态地将MCU的串口在串行通道间切换,以达到串口扩展的目的。本文中MCU 以89C51为例,Mux 以MAX353为例。 ?MAX353 是Maxim公司推出的高性能多路复用器,实际可构成两对单刀单掷模拟开关,两对开关状态由一个引脚控制。MAX353基本参数为导通电阻小于35Ω;导通时间小于175ns,关断时间小于145ns。以上参数完全满足本方案的使用要求。 ?以下介绍本串口扩展方案的基本工作原理。 ?两串行通道和MAX353、89C51的连接两串行通道CH1,CH2通过多路复用器MAX353接到89C51的串口,多路复用器MAX353由89C51的一个I/O引脚控制。其中串行通道CH2的输出TXD2同时接到89C51的外部中断输入请 求INT0或INT1上。为了适应各种串口通信协议的需要,可在电路中加上电平转换器件,如图1所示。 ?中断源的使用和设置CH1仍旧使用串口中断,而CH2使用外部中断INT0或INT1(下面以INT0为例)。当CH2有信息来时,TXD2上将出现起始标志:

单片机多串行口设计方案

单片机多串行口设计方案 在以单片机为核心的测控系统中.微控制器往往需要两个或两个以上的串行口与其他主机或外设进行通讯,如何使系统具备多个串行接口,是一个具有普遍性的问题。尤其在航空航天领域,由于GPS、大气数据系统、数字罗盘、无线电高度表、甚至陀螺等航空电子设备普遍采用串行通讯方式,单片计算机系统就更需要有多个串行口以满足与外界信息交换的需要。常用的多串行口设计方法 ①选用多串行口单片机 直接选用多串行口单片机作为系统的CPU,显然是最直接有效的方法。现在有许多新型CPU配备两个或两个以上串行口,如W77E58、DS80C320、MSP430F149、C8051F020单片机和数字信号处理器TMS320C30有两个串行口,而cygnal的C8051F系列单片机有4-5个全双工的异步串行口。 ②用CPU的I/O模拟串行口 当串行口的波特率设为9600波特时,传送一个bit需要100us左右,对于一般的单片机而言,软件定时器完全有能力按异步串行通讯协议模拟出串行口的时序。所以,用CPU的两个I/O口和一个软件定时器,就可以纯粹用软件模拟出一个串行口来。文献介绍了一种具体的实现方法,发送时,只需按时序一位一位输出数据即可,接收时,首先利用外部中断检测到I/O接收口上的起始电平,然后利用定时器按半个bit的时间长度延时接收第一个bit的数据,再按一个bit的时间长度延时依此读取其他位的信号。 ③基于高速输入输出的软件串行口 80C196系列单片机配备了高速输入HSI和高速输出HSO接口.可以利用HSI和HSO来模拟串行口。数据的输出利用HSO,只要在HSO的定时器里写入与波特率对应的延迟时间,HSO每中断一次,输出一个数据位,直到停止位输出完毕。接收时,利用HSI自身的信号跳变检测功能检测起始位,并产生中断通知CPU开始接收数据,后续的数据位由软件定时器按波特率定时读取。文献详细介绍了实现方法,并给出了完整

相关文档
最新文档