脱机运算器实验报告

脱机运算器实验报告

脱机运算器实验报告

近年来,随着计算机技术的飞速发展,我们对于计算的依赖越来越深。然而,

在某些特定的情况下,计算机的在线运算并不是最佳的选择。例如,在一些特

殊环境中,如太空探索、深海勘探等,计算机的在线运算面临着网络延迟、带

宽限制等问题。为了解决这一问题,我们进行了一项关于脱机运算器的实验。

实验目的:

本实验旨在探索脱机运算器的原理和应用,以及评估其在特定环境下的性能。

实验设备:

我们采用了一台由硬件实现的脱机运算器作为实验设备。该设备由处理器、存

储器、输入输出接口等组成,可以在无需网络连接的情况下进行运算。

实验步骤:

1. 设计算法:我们首先设计了一个简单的算法,用于测试脱机运算器的性能。

该算法包括了加法、减法、乘法和除法等基本运算。

2. 编程实现:根据设计的算法,我们使用汇编语言编写了相应的程序,并将其

加载到脱机运算器的存储器中。

3. 运行实验:我们对脱机运算器进行了一系列的运算实验。通过输入指令,脱

机运算器可以按照程序中的算法进行运算,并将结果输出到显示屏或存储器中。实验结果:

通过实验,我们得出了以下结论:

1. 脱机运算器可以在无需网络连接的情况下进行高效的运算。与在线运算相比,脱机运算器不受网络延迟和带宽限制的影响,具有更高的运算速度和稳定性。

2. 脱机运算器的存储能力有限。由于设备体积和成本的限制,脱机运算器的存

储器容量相对较小。因此,在进行复杂的运算时,可能需要对数据进行分块处

理或采用其他优化策略。

3. 脱机运算器在特殊环境下的应用潜力巨大。由于其独立于网络的特性,脱机

运算器可以应用于太空探索、深海勘探等领域,为科学研究和工程实践提供强

大的计算支持。

讨论与展望:

脱机运算器作为一种新兴的计算设备,具有广阔的应用前景。未来,我们可以

进一步改进脱机运算器的性能和功能,以满足更多领域的需求。例如,可以增

加存储器容量、优化算法设计、提高能耗效率等。

此外,脱机运算器的安全性也是一个重要的问题。由于其独立于网络的特性,

脱机运算器在一定程度上可以避免网络攻击和数据泄露的风险。然而,我们仍

需加强对脱机运算器的安全性研究,以确保其在实际应用中的可靠性和安全性。总结:

通过本次实验,我们对脱机运算器的原理和应用有了更深入的了解。脱机运算

器作为一种独立于网络的计算设备,具有独特的优势和潜力。我们相信,在未

来的科技发展中,脱机运算器将发挥越来越重要的作用,为人类探索未知和解

决复杂问题提供强大的计算支持。

实验二 运算器实验

南京工程学院 计算机工程学院 计算机组成与结构实验报告书 实验学生班级 K网络工程121 实验学生姓名王云峰 学号 240121525 实验地点信息楼A115 实验二运算器实验 同组同学李翔240121515(合作小组朱赛杰240121533)实验日期 11月27日实验仪器号 TEC-XP+14S022 一、实验目的 1.加深对Am2901运算器内部组成的了解, 掌握四片Am2901芯片间的连接关系, 以及它与有关外部逻辑电路的连接关系。 2.准确把握该运算器的控制与使用, 即掌握其运算与操作功能, 以及正确地为其提供全部控制信号及有关数据的手段与技术。 3.初步了解运算器在计算机整机中的作用。 二、实验内容 1.脱机方式下运算器的控制及运行设计控制信号序列,在脱机方式实现给定程序段的功能。记录按压START 前后的ALU的运算结果和状态标志。 2.联机方式下运算器的控制及运行在联机方式下,汇编并单步执行给定程序段,查看并记录每条指令执行后的运行结果。使用指令的单步骤执行方式,观察与运算器相关的控制信号的状态。 三、实验步骤与结果 脱机的运算器实验,在教学实验中实现如下7项操作功能: 预期功能实现方案 R0 ←1234 数据开关拨1234,B地址给0,D+0,结果送B口选的R0

R9 ←789F 数据开关拨789F,B地址给9,D+0,结果送B口选的R9 R9 ←R9-R0 B地址9,A地址给0,最低位进位给1,B-A,结果送B 口选的R9 R0 ←R0+1 B地址给0,最低位进位给1,B+0,结果送B口选的R0 R10←R0 B地址给A,A地址给0,A+0,结果送B口选的R10 逻辑右移 在有了预期功能和实现方案之后,要解决的具体问题,就是依据教学计算机的简明操作卡中的有关表格中规定的内容,找出实现每一操作功能要用到的控制码。请把表2-3中各组控制信号的正确的取值填写在相应位置,然后把运行结果的状态信息填入表2-4。

计组实验报告 (2)

计算机组成原理实验报告 课程名称计算机组成原理实验 学院计算机学院 专业班级计算机科学与技术 学号 学生姓名 指导教师 2015年 5 月19 日

计算机学院学号: 姓名教师评定 实验题目基础汇编语言程序设计实验 一、实验目的: 1、学习和了解TEC-XP+教学实验监控命令的用法; 2、学习和了解TEC-XP+教学实验系统的指令系统; 3、学习简单的TEC-XP+教学实验系统汇编程序设计 二、实验设备与器材: 工作良好的PC机; TEC-XP+教学实验系统和仿真终端软件PCEC。 三、实验说明和原理: 实验原理在于汇编语言能够直接控制底层硬件的状态,通过简单的汇编指令查看、显示、修改寄存器、存储器等硬件内容。 实验箱正如一集成的开发板,而我们正是通过基础的汇编语言对开发板进行使用和学习,过程中我们不仅需要运用汇编语言的知识,还需要结合数字逻辑中所学的关于存储器、触发器等基本器件的原理,通过串口通讯,实现程序的烧录,实验箱与PC端的通讯。四、实验内容: 1、学习联机使用TEC-XP+教学实验系统和仿真终端软件PCEC; 2、学习使用WINDOWS界面的串口通讯软件; 3、使用监控程序的R命令显示/修改寄存器内容、D命令显示存储内容、E命令修改存储 内容; 4、使用A命令写一小段汇编程序,U命令反汇编输入的程序,用G命令连续运行该程序, 用T、P命令单步运行并观察程序单步执行情况。 五、实验步骤: 1、准备一台串口工作良好的PC机器; 2、将TEC-XP+放在实验台上,打开实验箱的盖子,确定电源处于断开状态; 3、将黑色的电源线一段接220V交流电源,另一端插在TEC-XP+实验箱的电源插座里; 4、取出通讯线,将通讯线的9芯插头接在TEC-XP+实验箱上的串口"COM1"或"COM2"上,另 一端接到PC机的串口上;

计组实验报告基础汇编语言程序设计实验

基础汇编语言程序设计实验 【实验目的】 1.了解教学计算机的指令格式、指令编码、选择的寻址方式和每一条指令的具体功能,为 进一步学习运算器组成和控制器设计打好基础。 2.了解汇编语言的语句与机器语言的指令之间的对应关系,了解伪指令在汇编过程中的作 用。 3.学习教学机监控程序的功能、监控命令的使用方法,体会软件系统在计算机组成中的地 位和作用。 【实验内容】 1.学习联机使用TH-UNION+教学实验系统和仿真终端软件PCEC. 2.使用监控程序的R命令显示/修改寄存器内容、D命令显示存储器内容、E命令修改存储 器内容。 3.使用A命令写一小段汇编程序,U命令反汇编刚输入的程序,用G命令连续运行该程序, 用T、P命令单步运行并观察程序单步执行情况; 【实验内容】 1.用R命令查看寄存器内容或修改寄存器的内容 1)在命令行提示符状态下输入: R↙;显示寄存器的内容 注:寄存器的内容在运行程序或执行命令后会发生变化。 2)在命令行提示符状态下输入: R R0↙;修改寄存器R0的内容,被修改的寄存器与所赋值之间可以无空 格,也可有一个或数个空格 2.用D命令显示存储器内容 在命令行提示符状态下输入: D 2000↙ 3. 用E命令修改存储器内容 在命令行提示符状态下输入: E 2000↙ 4.用D命令显示这几个单元的内容 D 2000↙ 可以看到这六个地址单元的内容变为0000 1111 2222 3333 4444 5555。 5. 用A命令键入一段汇编源程序,主要是向累加器送入数据和进行运算,执行程 序并观察运行结果。 1)在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 2)用U命令反汇编刚输入的程序 在命令行提示符状态下输入: U 2000↙ 在相应的地址会得到输入的指令及其操作码 3)用G命令运行前面键入的源程序 G 2000↙

运算器组成原理实验报告

运算器组成原理实验报告 运算器组成原理实验报告 一、引言 运算器作为计算机中的重要组成部分,承担着数据处理和运算任务。本实验旨 在通过实际操作,深入了解运算器的组成原理和工作机制。 二、实验目的 1. 理解运算器的基本概念和功能。 2. 掌握运算器的组成原理及其实际应用。 3. 学会使用逻辑门电路构建简单的运算器。 三、实验器材与步骤 1. 实验器材: - 逻辑门电路芯片(如AND、OR、NOT等); - 运算器实验板; - 连接线等。 2. 实验步骤: 1) 连接逻辑门电路芯片到运算器实验板上的相应位置。 2) 根据实验要求,设置逻辑门的输入信号。 3) 运行实验板,观察输出结果。 4) 记录实验数据,并进行分析。 四、实验原理 运算器由多个逻辑门电路组成,主要包括加法器、减法器、乘法器和除法器等。这些逻辑门电路通过接收输入信号并进行逻辑运算,最终输出结果。

1. 加法器 加法器是运算器的基本组成部分,用于实现数字的加法运算。它由多个逻辑门电路组成,其中包括半加器和全加器。半加器用于实现两个数字的个位相加,全加器则用于实现多位数的相加。 2. 减法器 减法器是运算器的另一个重要组成部分,用于实现数字的减法运算。它通过将减法转化为加法运算来实现。减法器的输入包括被减数、减数和借位,输出为差值。 3. 乘法器 乘法器用于实现数字的乘法运算。它通过多次的加法运算来实现乘法。乘法器的输入包括被乘数和乘数,输出为积。 4. 除法器 除法器用于实现数字的除法运算。它通过多次的减法运算来实现除法。除法器的输入包括被除数和除数,输出为商和余数。 五、实验结果与分析 根据实验步骤进行操作后,我们观察到运算器实验板上的LED显示屏显示出了正确的运算结果。通过分析实验数据,我们得出了以下结论: 1. 运算器能够正确地进行加法、减法、乘法和除法运算,验证了其组成原理的正确性。 2. 运算器的性能受到逻辑门电路的质量和连接线的稳定性等因素的影响。在实际应用中,需要保证这些因素的稳定性和可靠性,以确保运算器的正常工作。 六、实验总结

实验二运算器实验

实验二运算器实验 实验二运算器am2901实验 该实验操作不需用到电脑,不需实现电脑和实验箱的连接,操作全部在实验箱上完成。 实验过程当中,必须认真展开,避免损毁设备,分析可能将碰到的各种现象,推论结 果与否恰当,记录运转结果。 实验目的: 1、深入细致介绍am2901运算芯片的功能、结构; 2、深入细致介绍4片am2901的级 联方式; 3、深化运算器部件的组成、设计、控制与使用等知识。 教学计算机的运算器部件主体由4片4位的运算器芯片am2901彼此串联形成,它输 入16位的数据运算的结果(用y则表示)和4个结果特征位(用cy,f=0000,over,f15则表示)。它的输出(用d则表示)就可以源自于内部总线。 确定运算器运算的数据来源、运算功能、结果处置,需要使用控制器提供的i8~i0、 b3~b0、a3~a0共17个信号。 运算器的输入轻易相连接至地址寄存器ar的输出插槽,用作提供更多地址总线的信 息来源。运算器的输入还经过两个8位的244器件的掌控(采用dc1译码器的ytoib#信号)被送至内部总线ib,用作把运算器中的数据或者运算结果载入内存储器或者输入输出USB 芯片。 运算器产生的4个结果特征位的信息需要保存,为此设置一个4位的标志寄存器flag,用于保存这4个结果特征信息,标志寄存器的输出分别用c、z、v、s表示。控制标志寄 存器何时和如何接收送给它的信息,需要使用控制器提供的sst2~sst0三位信号。 运算器还须要按照指令继续执行的建议,正确地获得最高位的位次输出信号,最高位 和最低位的移位输出信号,为此须要布局另一个shift的线路,在控制器提供更多的ssh 和sci1~sci0三位信号的掌控下,产生运算器最高位的位次输出信号,最高位和最低位的 移位输出信号。 相关器件: 4片am2901(alu)两片ar(74ls374)一片flag(gal20v8)一片shift(gal20v8) 2片244(alutoib,74ls244)2个12位微动开关(红色) 3个手动掌控信号内存芯片(hand,74ls240)

实验一 脱机运算器实验

实验一脱机运算器实验 实验说明:脱机运算器实验,是指让运算器从教学计算机整机中脱离出来,此时,它的全部控制与操作均需通过实验设备上的微型开关来完成,这里谈不上执行指令,只能通过开关、按键控制教学机的运算器完成指定的运算功能,并通过指示灯观察运算结果。 一、实验目的:了解AM2901运算器的功能与具体用法,深化对运算器部件的组成、设计、控制与使用的理解。 二、实验准备: 1.熟悉按键:START,RESET的位置。 2.熟悉拨动开关:SWH7-0,SWL7-0的位置,这是输入立即数D的开关,拨动 这些开关,熟悉与之对应的指示灯。 3.熟悉红色的拨动开关micro switch1和micro switch2的位置,熟悉与之对应的 指示灯,这些开关是向运算器提供控制信号的。 4.找到指示灯Y0-Y7,Y8-Y15,C Z V S 和Cy Zr Ov F3的位置。 5.准备好实验报告,并根据各操作设计各控制码,A、B地址填入表格。 三、实验内容: 1.将5个工作方式选择开关置为1xx00(单步、16位、脱机)按一下”RESET”,再 按一下”START”键,进行初始化。 2.完成步骤1:拨动micro switch开关向运算器提供控制信号,拨动SWH7-0, SWL7-0开关向运算器提供数据,然后按下”START”键,完成一步操作,通过指示灯观察运算结果及状态标志,填写表格,注意表格中第一列填写字母比如F→ B,第二列填写二进制数比如001。 的值在压START之前是正确的,压START之后却不正确了,这是因为一旦控制信号建立,数据准备好后,运算结果就直接出现在Y15-Y0,出现在通用寄存器的输入端压下START键使数据存入寄存器,此时控制信号仍然存在,新数据所产生的运算结果又直接反映在Y15-Y0端。 3.依次完成下列步骤,并填写表格 在这个步骤中,先将R1置为0008H,采用前面D1+0→R1的方法

计算机组成原理实验报告

实验一:脱机运算器实验 实验目的:了解AM2901运算器的功能与用法,2片AM2901的级连方式,深化运算器部件的组成、设计、控制与使用等知识。 实验仪器:TEC-2000实验仪 实验原理:脱机运算器实验,是让运算器从教学计算机整机中脱离出来,此时它的全部控制与操作均需通过两个12位的微型开关来完成,这就不能执行指令,只能通过开头、按键控制教学机的运算器完成指定的运算功能,并通过指示灯观察运算结果。 实验内容:1、将教学机左下方的5个拨动开关置为1XX10(单步、8位、脱机);先按RESET 按键,再按START按键,进行初始化。 2、按下表所列操作在8位机上进行运算器脱机实验,结果如表所示。其中D1取为01H,D2取为10H;通过两个12位的红色微型开关向运算器提供控制倍,通过8位数据开关向运算器提供数据(高8位的数据开 结果分析:由结果可知,只要按AM2901芯片功能给出其相应的控制信号,即可完成相应的功能。另AM2901操作周期如下: A、B口数据锁存通用寄存器接收 即在下降沿时,A、B口数据锁存器锁存数据,在低电平时通用寄存器接收数据,因此在压START前,ALU输出为结果,压START后,产生高电平到低电平的变化,此时ALU输出的结果存入通用寄存器中,而ALU则输出操作再次被执行的结果,但该结果没有存入通用寄存器中,则下次操作时使用的寄存器值为存入值(表中表现为压START前值)。 实验结论:通过此项实验使我们了解了AM2901运算器的功能与用法,熟悉了2片AM2901的级连方式,以及深化运算器部件的组成、设计、控制与使用等知识,让我们加深了对AM2901运算器各项特性的认知程度。 实验二:控制器部件教学实验 实验目的:通过教学计算机中已经设计好并正常运行的几条典型指令的功能、格式和执行流程后,设计几条指令的功能、格式和执行流程,并在教学计算机上实现、调试正确。达到以下目的:

《计算机组成原理》运算器实验报告(总结报告范文模板)

《计算机组成原理》运算器实验报告 实验目录: 一、实验1 Quartus Ⅱ的使用 (一)实验目的 (二)实验任务 (三)实验要求 (四)实验步骤 (五)74138、74244、74273的原理图与仿真图 二、实验2 运算器组成实验 (一)实验目的 (二)实验任务 (三)实验要求 (四)实验原理图与仿真图 三、实验3 半导体存储器原理实验 (一)实验目的 (二)实验要求 (三)实验原理图与仿真图 四、实验4 数据通路的组成与故障分析实验 (一)实验目的 (二)实验电路 (三)实验原理图与仿真图 五、本次实验总结及体会:

一、实验1 Quartus Ⅱ的使用 (一)实验目的 1.掌握Quartus Ⅱ的基本使用方法。 2.了解74138(3:8)译码器、74244、74273的功能。 3.利用Quartus Ⅱ验证74138(3:8)译码器、74244、74273的功能。 (二)实验任务 1、熟悉Quartus Ⅱ中的管理项目、输入原理图以及仿真的设计方法与流程。 2、新建项目,利用原理编辑方式输入74138、74244、74273的功能特性,依照其功能表分别进行仿真,验证这三 种期间的功能。 (三)实验要求 1.做好实验预习,掌握74138、74244、74273的功能特性。 2.写出实验报告,内容如下: (1)实验目的; (2)写出完整的实验步骤; (3)画出74138、74244和74273的仿真波形,有关输入输出信号要标注清楚。 (四)实验步骤 1.新建项目: 首先一个项目管理索要新建的各种文件,在Quartus Ⅱ环境下,打开File,选择New Project Wizard后,打开New Project Wizard:Introduction窗口,按照提示创建新项目,点击“Next”按钮,再打开的窗口中输入有关的路径名和项目名称后,按“Finish”按钮,完成新建项目工作。 2.原理图设计与编译: 原理图的设计与编译在Compile Mode(编译模式)下进行。 2.1.新建原理图文件 打开File菜单,选择New,打开“新建”窗口。在图中所示的“Device Design Files”标签中,选择“Block Diagram/Schematic File”项,按下“OK”按钮即可打开原理图编辑器,进行原理图的设计与编辑。 选择“Block & Symbol Editors”中的不同器件,在编辑区中就可完成原理图的设计编辑。 添加元器件可点击“Block & Symbol Editors”中元器件符号,或在编辑区的空白处双击鼠标左键,出现“Symbol”对话框,在“Libraries”中选择所需元器件,或直接在“Name”文本框中输入元器件名称,如74138(3-8译码器),点击“OK”按钮,将元器件拖放到编辑区中。 按照设计需要,使用“单线连接线”或“总线连接线”将各器件的引脚连接起来。总线的命名采用数组形式,如out[7..0],与总线相连的引脚也采用相同的数组形式命名;若需从总线中引出单线时,须指出各单线对应的总线位号(双击线条即可命名)。两根连接线,若名称相同,亦表示两线为连通状态。选择某一元器件,点击“元器件翻转工具”按钮,即可改变元器件引脚顺序及摆放方向。 2.2.编译原理图 原理图设计完成后,在编译模式下,点击“►”按钮进行编译,编译无误将弹出编译成功对话框;编译如有错误,请根据“调试信息”框中的错误提示修改原理图,直至编译通过。生成成功后将弹出成功对话框。 2.3.生成自定义芯片 原理图编译通过后,可根据用户需要,设计生成自定义芯片。打开“Tools”菜单,选择“Creat Symbol For Current File”菜单项(如图3-13所示),就可生成自己定义的芯片,芯片的名称就是编译通过的原理图的名称。用户即可在“Symbol”对话框“Libraries”文本框的“Project”菜单下找到自己设计的芯片 3. 创建向量波形文件 当原理图编译完成后,需要新建波形文件,以便利用波形文件对前面完成的设计进行仿真分析。本过程需要在Simulate Mode(仿真模式)下进行。 打开“新建”窗口,在“Other Files”标签中选择“Vector waveform File”,按下“OK”按钮,即可新建一

运算器实验(2)

四运算器实验(2) 09软件2班严小玲20091004171 一、实验名称:运算器实验 二、实验日期:2010年11月30日星期二 三、实验设备: 1. TEC-2数学计算机 2. 微型计算机 四、实验目的: 1. 深入了解AM2901运算器的功能和具体用法; 2. 熟悉AM2901芯片的功能及其控制信号的运用; 3. 学习用脱机方式观察指令的执行及对F标志的影响; 4. 观察测量并行和串行进位链的进位延迟时间。 五、实验原理: 1,并行和串行进位链的逻辑实现和特点 2,AM2901芯片的接入方式,采用了跨接线办法。 六、实验内容及步骤: 七、实验结果: (一)脱机下实现操作,联机下验证实验 1. 在脱机状态下,实现下列操作,并以表格形式记录ALU输出和SVZC标志(按STEP键前、后分别记录) 1)将FFFFH送入R0寄存器 2)将FFFFH送入R1寄存器 3)实现R1+R0→R1,即ADD R1,R0 4)将0001H送R2寄存器 5)实现R2-1→R2,即DEC R2 6)将000FH送R3 7)将FF00H送R0寄存器 8)将00FF送R1寄存器

9)实现R1与R0异或后送R1,即XOR R1,R0 实现上述操作,并填写实验结果中表(1) 表(1): 注意事项:注意记录按STEP之前和之后的结果 2. 在联机方式下,通过输入汇编指令来验证上面的结果。步骤:(1)输入汇编程序 A800: 800:MOV R0,FFFF MOV R1,FFFF ADD R1,R0 MOV R2,0001 DEC R2 MOV R3,000F MOV R0,FF00

MOV R1,00FF XOR R1,R0 RET (2)通过R指令,查看寄存器的结果,以及F结果的输出。 (二)联机下实现操作,脱机下验证实验 1、学习联机方式下的单步执行方法 (1)联机状态的设定 ①将FS1-FS4置为:1010,即从0地址起执行监控程序; ②将STEP/CONT=CONT (2)联机方式调试程序 在终端上用A命令输入如下程序: 800:MOV R0,0F0F MOV R1,0F0F MOV R2,000F MOV R3,0001 808:ADD R1,R0 SUB R1,R0 SHR R3 INC R2 RET

计组实验报告-2运算器实验

综合实验报告 ( 2010-- 2011 年度第一学期) 名称:计算机组成原理综合实验题目:运算器实验 院系:计算机系 班级: 学号: 学生姓名: 指导教师: 设计周数:一周 成绩: 日期:年月

一、目的与要求 (1)熟悉与深入理解4位的运算器芯片Am2901的功能和内部组成,运行中要求使用的控制信号及其各自的控制作用; (2)熟悉与深入理解用4片4位的运算器芯片构成16位的运算器部件的具体方案,各数据位信号、各控制位信号的连接关系; (3)熟悉与深入理解用2片GAL20V8芯片解决ALU最低位的进位输入信号和最高、最低位的移位输入信号、实现4位的标志位寄存器的方案,理解为什么这些功能不能在运算器芯片之内实现而要到芯片之外另外处理。 (4)明确教学计算机的运算器部件,使用总计23位的控制信号就完全确定了它的全部运算与处理功能,脱机运算器实验中可以通过24位的微型开关中的23位提供这些控制信号,教学计算机正常执行指令时,这些控制信号必须改由控制器部件来提供。在两种方式下,每一位(组)的控制功能是完全相同的。 二、实验正文 1.实验内容 1.1脱机运算器和联机运算器的区别和联系 脱机运算器实验方式是指使运算器部件完全脱离与计算机主机其他部件正常的连接关系,在完全孤立出来的运算器上进行的教学实验。此时,只能通过数据开关拨入参加运算的数据,通过微型开关提供操作运算器运行所必需的控制信号,通过信号指示灯观察运算结果,操作简单,实验结果清晰易理解。联机实验方式是指在运算器部件与计算机主机保持正常连接关系,教学计算机可以正常执行指令的情况下进行的以运算器为重点的教学实验。此时,可以通过指令提供参加运算的数据,通过控制器提供操作运算器运行所必需的控制信号,通过信号指示灯或者通过运行监控程序观察运算结果,操作略显复杂,涉及到目前尚未讲解到的如何让控制器提供运算器实验所要求的控制信号的办法,有一定难度,当然完成实验后的收获也会更大,提前接触到控制器部件的一些内容。 1.2脱机运算器实验微型开关各字段的控制功能 TEC-XP 16脱机运算器实验微型开关各字段的控制功能

脱机运算器实验指导

脱机运算器实验指导 实验一脱机运算器实验 一、实验原理: 运算器是计算机中执行各种算术和逻辑运算操作的部件。运算器的基本操作包括加、减、乘、除四则运算,与、或、非、异或等逻辑操作,以及移位、比较和传送等操作。运算器的组成除了必须的ALU单元外,寄存器和移位器也是必需。寄存器用于提供操作数和存放运算结果,以节省访存时间;移位器可实现数据的移位功能,以扩展ALU的运算功能和数据传送的灵活性。美国AMD公司生产的AM2901芯片是典型的运算器芯片。 1、AM2901的结构与组成 AM2901芯片是一个4位的,位片结构的、完整的运算器器件。AM2901由ALU、输入多路选择器、输出选 择门、寄存器组和移位器等器件组成,如图1-1所示,作为教学内容的实例具有很好的典型性。 2、AM2901各组成部分及其功能 图1-1 AM2901芯片的内部组成框图

1)ALU 为4位算术逻辑单元,有8种运算功能,由外部送入3位信号I5,I4,I3的编码值来确定执行哪种 功能。 2)存器组:AM2901具有由16个4位寄存器组成的寄存器组,作为通用寄存器组使用。该寄存器组有两个 4位地址译码器A和B,其中A译码器只具有读出数据功能,而B译码器具有读出和写入两种功能。也就是 说,该寄存器组具有两个数据输出端口,即A口和B口,输出的数据分别存入锁存器A和B;一个数据输入 端口,即B口。 3)寄存器 :4位,在乘除运算中可用来存放乘数或商,故称做乘商寄存器。它本身具有移位功能,即可接 受其本身左移一位或右移一位的值,它还可以接收ALU的输出值。 4)输入多路选择器 :AM2901具有两个输入多路选择器R和S,用于为ALU提供两个操作数。其中,R 可选择D数据线来的数据或A锁存器的数据;而S可从A锁存器、B锁存器、Q 寄存器3个来源选取数据。 两个操作数的组合由外部送来的3位控制信号I2、I1、I0的编码确定。 5)移位器 : 4位,用于对ALU的输出实现直送、左移或右移。 6)输出选择门 :用于确定AM2901输出数据Y的来源。输出数据Y有两个来源:一个是ALU的运算结果 F,另一个是直接来自A口。输出数据Y的选择,以及ALU的运算结果F如何传送给B输入口或Q寄存器, 是由外部送来的3位控制信号I8、I7、I6的编码值确定的。

(完整word版)广工计算机组成原理实验报告

实验题目实验一基础汇编语言程序设计 一、实验目的: (1)学习和了解TEC-XP+教学实验系统监控命令的用法。 (2)学习和了解TEC-XP+教学实验系统的指令系。 (3)学习简单的TEC-XP+教学实验系统汇编语言程序。 二、实验设备与器材: 1.TEC-XP+教学实验系统 2.仿真终端软件(也可用软件模拟器) 三、实验说明和原理: 在使用教学机之前,先熟悉教学机的各个组成部分,及其使用方法。 四、实验内容: 1. 学习联机使用TEC-XP+教学实验系统和仿真终端软件PCEC; 2. 学习使用WINDOWS界面的串口通讯软件; 3、使用监控程序的R命令显示/修改寄存器内容、D命令显示存储器内容、E 命令修改存储器内容; 4、使用A命令编写一小段汇编程序,U命令反汇编刚输入的程序,用G命令连续运行改程序,用T、P命令单步运行并观察程序单步执行的情况。 五、实验步骤: 1. 准备一台串口良好的PC机; 2. 将TEC-XP+放在试验台上,打开试验箱的盖子,确定电源处在断开状态; 3. 将黑色的电源线一端接220V交流电源,另一端插在TEC-XP+试验箱的电源插座里; 4. 取出通讯线,将通讯线的9芯插头接在TEC-XP实验箱的串口“COM1”或“COM2”上,另一端接在PC机的串口上;

5. 将TEC-XP实验系统左上方的六个黑色的控制机器运行状态的开关置于正确的位置,在这个试验中开关应该置为001100; 6. 打开电源,船型开关和5V电源指示灯亮; 7. 在pc机上运行PCEC16.EXE; 8. 按一下RESET键,再按一下START键即可。 **实验结果截图 要求:举例编写汇编程序,用A命令输入,运行并输出结果。 1)例1:从键盘上接收一个字符并在屏幕上输出显示该字符 2)例2,:在终端屏幕上输出“0”~“9”十个数字符 *在屏幕上输出“A”~”Z”26个英文字母 3)例3:连续显示数字,遇到非数字字符的结束输入过程 4)例4:计算1到10的累加和

计算机组成原理实验报告册

实验一监控程序与汇编实验 实验时间:第周星期年月日节 实验室:实验台: (以上部分由学生填写,如有遗漏,后果由学生本人自负) 1、实验目的 1)了解教学计算机的指令格式、指令编码、选择的寻址方式和具体功能。 2)了解汇编语言的语句与机器语言的指令之间的对应关系,学习用汇编语言设计程序的过程和方法。 3)学习教学机监控程序的功能、监控命令的使用方法,体会软件系统在计算机组成中的地位和作用。 2、实验平台 硬件平台:清华大学TEC-XP实验箱的MACH部分 软件平台:监控程序pcec16。exe、PC端指令集仿真软件 3、实验要求 1)学习联机使用TEC-XP 教学实验系统和仿真终端软件PCEC16。com; 2)使用监控程序的R 命令显示/修改寄存器内容、D 命令显示存储器内容、E 命令修改存储器内容; 3)使用A 命令写一小段汇编程序,使用U命令观察汇编码与机器码之间的关系,用G 命令连续运行该程序,用T命令单步运行并观察程序单步执行情况。 **代码不得写到0000——1FFF的地址单元中,如有违反将被取消当堂成绩 4、操作步骤及实验内容 1)实验箱功能开关设置及联机操作: 1. 将实验箱COM1口与PC机相连; 2. 设置功能状态开关为00110; 3. 于PC端运行Pcec16.exe; 4. 按RESET,START键,若PC端出现如下输出(如图1.1所示),则操作成功; 图1.1 2)仿真软件相关操作:

1。在项目文件夹找到tec2ksim.exe并启动; 图2。1 2。点击文件—启动监控程序; 图2。2 4。若PC端出现如下输出(如图2。3所示),则操作成功;

实验一:脱机运算器实验

实验一 脱机运算器实验 一、实验目的 1、深入了解位片结构运算器AM2901的功能与用法; 2、学习4片AM2901组成一个16位运算器的级连方式,深化运算器部件的组成、设计、控制和使用等方面的知识。 二、实验原理 运算器是计算机中执行各种算术和逻辑运算操作的部件。运算器的基本操作包括加、减、乘、除四则运算,与、或、非、异或等逻辑运算,以及传送、移位、比较等操作。运算器的组成除了ALU 单元外,还包括必要的寄存器和移位器等部件。寄存器用于存放操作数和运算结果,以节省访问存储器的时间;移位器实现数据的移位功能,以扩展ALU 的运算功能,增加数据传送的灵活性。 AM2901是一个4位的位片结构运算器,主要由ALU 、输入多路选择器、输出选择器、寄存器组和移位器等组成,如图1-1所示。 图1-1 AM2901芯片的内部组成结构 1)算术逻辑ALU ALU 为4位算术逻辑单元,共有8种运算功能,由输入端I 5I 4I 3的编码值决定执行哪一种功能。 2)通用寄存器组 AM2901内部有一个通用寄存器组,共有16个4位的寄存器。寄存器组有A 和B 两个端口,其中端口A 只有读出数据功能,端口B 具有读出和写入两种功能。即数据可以从A 口和B 口输出,但只能从B 口输入。 3)Q 寄存器 Q 寄存器位4位,在乘除运算中可用来存放乘数或商,故又称为乘商寄存器。Q 寄存器本身具有移位功能,可对数据进行左移一位或右移一位的处理。 4)ALU 输入多路选择器 AM2901具有两个输入多路选择器R 和S ,为ALU 提供两个操作数。R 可选择来自数据线的数据D (来自外部)或寄存器组端口A 的数据;S 可从寄存器组端口A 、B 和Q 寄存器3个来源选取数据。两个操作数的组合由控制信号I 2I 1I 0的编码确定。 5)移位器 4位,用于对ALU 的输出实现直送、左移或右移处理。 6)输出选择器 选择AM2901输出数据Y 的来源。输出数据Y 有两个来源:一个是ALU 的运算结果F ,另一个是直接来自寄存器组端口A 。输出数据Y 的选择,以及ALU 的运算结果F 如何传送给寄存器组端口B 的输入或Q 寄存器,由控制信号I 8I 7I 6的编码值确定。 表1-1、表1-2和表1-3为ALU 操作运算、数据来源以及运算结果处理的选择情况。 表1-1 选择8种运算 表1-2 选择ALU 的8种输入数据组合 表1-3 选择运算器的8种结果的处置方案 注:[1] 0表示数值恒为0;[2]D 表示外部数据。 I 6 I 7 I 8

运算器实验实验报告

八位运算器实验分析 1,运算器是计算机中的数据处理部件,其组成部件总体来说是:算术逻辑运算单元ALU、多路选通器、累加器ACC、通用寄存器和专用寄存器。运算器可读取内存单元的数据,对其进行运算,并将结果写入内存单元;还可向内存发出访问内存的有效地址。 在完成八位运算器实验时,利用VHDL语言将八位运算器的所有计算功能编写入一个程序,使其可以完成逻辑和算术运算的各种功能。 2,八位运算器的实验原理框图 3,VHDL代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity exp_r_alu is port( clk: in std_logic; sw_bus,r4_bus,r5_bus,alu_bus:in std_logic; lddr1,lddr2,ldr4,ldr5: in std_logic; m,cn: in std_logic; s: in std_logic_vector(3 downto 0); k: in std_logic_vector(7 downto 0); d: inout std_logic_vector(7 downto 0)); end exp_r_alu ; architecture rtl of exp_r_alu is signal dr1,dr2,r4,r5,aluout,bus_reg:std_logic_vector(7 downto 0); signal sel:std_logic_vector(5 downto 0);

计算机组成原理运算器实验报告(一)

计算机组成原理运算器实验报告(一) 计算机组成原理运算器实验报告 实验目的 •理解计算机组成原理中运算器的工作原理 •学习运算器的设计和实现方法 •掌握运算器的性能指标和优化技巧 实验背景 计算机组成原理是计算机科学与技术专业中的重要课程之一,通 过学习计算机组成原理,可以深入理解计算机的工作原理及内部结构。运算器是计算机的核心组成部分之一,负责执行各种算术和逻辑运算。在本次实验中,我们将通过实践的方式,深入了解并实现一个简单的 运算器。 实验步骤 1.确定运算器的功能需求 –确定需要支持的算术运算和逻辑运算 –设计运算器的输入和输出接口 2.实现运算器的逻辑电路

–根据功能需求,设计并实现运算器的逻辑电路 –确保逻辑电路的正确性和稳定性 3.验证运算器的功能和性能 –编写测试用例,对运算器的功能进行验证 –测量运算器的性能指标,如运算速度和功耗 4.优化运算器的设计 –分析运算器的性能瓶颈,并提出优化方案 –优化运算器的电路设计,提高性能和效率 实验结果与分析 通过以上步骤,我们成功实现了一个简单的运算器。经过测试,运算器能够正确执行各种算术和逻辑运算,并且在性能指标方面表现良好。经过优化后,运算器的速度提高了20%,功耗降低了10%。 实验总结 通过本次实验,我们深入了解了计算机组成原理中运算器的工作原理和设计方法。通过实践,我们不仅掌握了运算器的实现技巧,还学会了优化运算器设计的方法。这对于进一步加深对计算机原理的理解以及提高计算机系统性能具有重要意义。 参考文献 •[1] 《计算机组成原理》

•[2] 张宇. 计算机组成原理[M]. 清华大学出版社, 2014. 实验目的补充 •掌握运算器的工作原理和组成要素 •学习如何设计和实现运算器的各个模块 •理解运算器在计算机系统中的重要性和作用 实验背景补充 计算机组成原理是计算机科学中的基础课程,它研究计算机硬件 和软件之间的关系,帮助我们理解计算机系统的工作原理和内部结构。运算器是计算机的核心部件之一,负责执行各种算术和逻辑运算,对 计算机的性能和功能起着重要作用。 实验步骤补充 1.确定运算器的功能需求 –确定需要支持的算术运算,如加法、减法、乘法、除法等 –确定需要支持的逻辑运算,如与、或、非、异或等 –设计运算器的输入和输出接口,如数据输入和结果输出的方式 2.实现运算器的逻辑电路 –根据功能需求,设计并实现运算器的逻辑电路

TEC-2000指令系统

第2章 TEC-2000教学计算机十六位机指令系统 2.1 TEC-2000 十六位指令系统概述 2.1.1 指令分类 十六位机的指令按不同的分类方法可划分为: 1、按指令长度划分为弹子指令和双字指令; 2、按操作数划分为无操作数指令、单操作数指令、双操作数指令和三操作数指令; 3、按指令的功能和执行步骤可划分为四组: A组:主要完成通用寄存器之间的数据传送或运算,以及少数特殊操作,在取指后一步完成。 基本指令:ADD,SUB,AND,OR,XOR,CMP,TEST,MVRR,INC,DEC,SHR,SHL,JR, JRC,JRNC,JRZ,JRNZ 扩展指令:ADC,SBB,NOT,RCL,RCR,ASR,STC,CLC,EI,DI,JRS,JRNS,JMPR B组:完成1次内存读/写操作,或IO读/写操作,在取指后二步完成。第一步向地址寄存器送入16位(或8位的I/O端口)地址,第二步完成读、写操作。 基本指令:LDRR,STRR,PUSH,POP,PSHF,POPF,MVRD,IN,OUT,JMPA,RET C组:完成2次内存读/写操作(间址操作),在取指令后三步完成。第一次读出的数据是操作数的地址,读出后直接(或经过一次加法运算)将其送入地址寄存器,省掉第二次 内存读/写操作的地址传送步骤。CALR指令先用第2步保存PC内容到堆栈,第3步把 寄存器中的子程序入口地址传送到程序计数器PC中。 扩展指令:CALR,LDRA,STRA,LDRX,STRX D组:完成2次内存的读、写操作,在取指之后四步完成。 基本指令:CALA 扩展指令:TRET 8位指令操作码(记作“IR 15–IR 8 ”)的含义 1)IR 15–IR 14 用于区分指令组,0X表示A组,10表示B组,11表示C、D组; 2)IR 11 用于区分C、D组,IR11=0为C组,IR11=1为D组; 3)IR 13 用于区分基本指令和扩展指令,基本指令为0,扩展指令为1。 4)IR 12 用于简化控制器实现,暂定为0。 5)IR 10–IR 8 用于区分同一指令组的不同指令。 2.1.2 指令格式 TEC-2000十六位的教学机系统实现了29条基本指令,保留了其余的19条扩展指令,供在教学实验中进行扩展,即完成对这些指令的设计与调试。 十六位教学机支持单字和双字指令。第一个指令字的高8位为指令操作码字段,低8位和双字指令的第二个字为操作数、地址字段,共有三种格式: 十六位机根据指令长度、操作数不同划分为6种指令格式。 1、单字、无操作数指令 格式:

相关主题
相关文档
最新文档