16选1多多路数据选择器设计

合集下载

数据选择器应用

数据选择器应用

计 数
输出 QD QC 0 0 0 0 1 1 1 1 0 0 0 QB 0 0 1 1 0 0 1 1 0 0 1
4
QA 0 1 0 1 0 1 0 1 0 1 0
0 1 2 3 4 5 6 7 8 9 10
0 0 0 0 0 0 0 0 1 1 1
11 12 13 14 15
1 1 1 1 1
0 1 1 1 1 表 2-1
1 0 0 1 1
1 0 1 0 1
3.2 4 路信号的产生和同时输出电路设计 由图 1-1 画出态序表,如表 2-2 输 QD 0 0 0 0 0 0 0 0 1 1 1 QC 0 0 0 0 1 1 1 1 0 0 0 0 0 1 1 0 0 1 入 QB 0 0 1 1 QA 0 1 0 1 0 1 0 1 0 1 0


本文用 2 片 8 选 1 数据选择器,1 片 7432 或门芯片,1 片 7404 非门芯片,1 片 7400 与非门芯片、 若干电阻和三极管, 当 4 个输入信号从 0000~1111 不断循环, 实现 4 路信号同时输出,并且每一路驱动 100mw 的负载。本文设计电路通过利用 输入信号和输出信号的关系,使 8 选 1 数据选择器实现 16 选 1;通过输出信号之 间的关系,利用逻辑门电路实现 4 路信号的同时输出;通过三极管和电阻组成共 集放大电路(射极跟随器),实现每路输出能驱动 100mw 的负载。 关键词:计数器 数据选择器 共集放大电路
大,满足驱动 100mW 负载的能力。
2.2 总体方案论证
2.2.1 计数器设计方案论证 从图 3 中可以看出,4 路输出信号均为下降沿触发的方式,所以计数器应该选 择下降沿触发计数器,本探究采用 multisim 软件进行仿真,所以使用 74LS161 芯 片作为计数器。74LS161 芯片图如图 1-2,

数据选择器(MUX)

数据选择器(MUX)

GND 8 9 S2
6
具有三态输出的集成数据选择器
例:8-1 MUX 74251
功能表
通道选择
使能
S2
S1
S0
E
X
X
X
1
0
0
0
0
0
0
1
0
0
1
0
0
0
1
1
0
1
0
0
0
1
0
1
0
1
1
0
0
1
1
1
0
输出
Y
Y
Z
Z
D0
D0
D1
D1
D2
D2
D3
D3
D4
D4
D5
D5
D6
D6
D7
D7
(Z:高阻态)
7
3. 数据选择器扩展 - 通道扩展
S2
YY
S1
S0 74151
E D0D1D2D3D4D5D6D7
1
12
实现逻辑函数 例2
例2:用一个8-1MUX74151和必要的门实现函数:
L( A, B,C, D) = ∑ m(1,2,5,6,8,10,14,15)
解: L = AB C D+ AB C D+ AB C D+ AB C D + ABCD+ ABCD+ ABCD+ ABCD
例:用2片74151扩展成16-1MUX
Y
Y
≥1
&
Y
Y
74151(2)
GE AS22 AS11 SA00
D7 D6

数据选择器的电路原理与功能

数据选择器的电路原理与功能

数据选择器的电路原理与功能数据选择器是一种常见的数字电路,用于选择多个输入信号中的一个或几个输出信号。

在现代电子设备中广泛使用的数据选择器通常实现在集成电路中,能够高效地选择信号,并将其传递给后续电路进行处理。

本文将详细介绍数据选择器的电路原理和功能。

一、数据选择器的电路原理数据选择器的基本电路原理是利用控制信号来控制多个开关的状态。

这些开关将输入信号连接到输出信号线上。

具体来说,当控制信号S0和S1的状态为00时,开关连接到A输入信号,输出为A;当控制信号状态为01时,开关连接到B输入信号,输出为B;当控制信号状态为10时,开关连接到C输入信号,输出为C;当控制信号状态为11时,开关连接到D输入信号,输出为D。

在基本电路中,选择开关采用逻辑门的形式实现。

具体来说,当控制信号S0和S1的状态发生改变时,选择开关将信号切换到不同的输入信号线上,从而改变输出信号。

二、数据选择器的功能1.多路选择:数据选择器可以选择多个输入信号中的一个或几个输出信号。

通过控制信号的不同状态,可以选择不同的输入信号作为输出信号。

这种多路选择的功能在数字电子设备中经常遇到,例如在计算机的数据通路中,根据控制信号选择不同的寄存器、缓冲器或处理器。

2.数据交换:数据选择器可以用于数据交换的应用。

例如,在计算机系统中,数据选择器可用于选择来自不同源的数据的输出,以便将数据传递给正确的目的地。

数据选择器还可以用于实现多路复用器和分配器等电路,使得多个信号可以通过一个信道进行传输。

3.逻辑运算:数据选择器可以通过逻辑运算来实现更复杂的功能。

例如,可以使用与门和非门实现与非逻辑功能,进一步扩展数据选择器的功能。

通过适当选择和操作输入信号,可以实现逻辑运算和条件控制,以满足不同的应用需求。

4.减少电路复杂度:数据选择器可以减少电路的复杂度和成本。

通过使用数据选择器,可以将多个输入信号连接到一个输出信号上,而不需要为每个输入信号都提供一个独立的电路。

选1多路选择器

选1多路选择器

EDA实验二4选1多路选择器设计实验一、实验目的进一步熟悉QuartusII 的VHDL 文本设计流程、组合电路的设计仿真和测试。

二、实验内容实验内容一:根据 4.1流程,利用QuartusII 完成四选一多路选择器的文本编辑输入和仿真测试等步骤,给出仿真波形。

实验内容二:对VHDL 不同描述方式的四选一多路选择器进行硬件实验,比较他们的特性。

三、实验记录1.when-else语句设计的4选1多路选择器a).利用when-else语句的vhdl程序library ieee;use ieee.std_logic_1164.all;entity mux41a isport(a,b,c,d,s0,s1:in std_logic;y:out std_logic);end entity mux41a;architecture one of mux41a isbeginy<= a when s0='0' and s1='0' elseb when s0='1' and s1='0' elsec when s0='0' and s1='1' elsed;end architecture one;备注以上是when-else语句设计的4选1多路选择器的vhdl描述。

程序中应该注意的有以下几点A.一:实体的命名要和工程名相同,并且不能是中文的或者以数字开头;B.二:when-else语句具有最高赋值优先级;b).when-else语句设计的4选1多路选择器的RTL图图(1)when-else语句设计的4选1多路选择器的RTL图c).when-else语句设计的4选1多路选择器的时序仿真波形图图(2)when-else语句设计的4选1多路选择器的时序仿真波形图d).when-else语句设计的4选1多路选择器功能仿真波形图图(3)when-else语句设计的4选1多路选择器功能仿真波形图2.if-then语句设计的4选1多路选择器a).利用when-else语句的vhdl程序library ieee;use ieee.std_logic_1164.all;entity mux41b isport(a,b,c,d,s0,s1:in std_logic;y:out std_logic);end entity mux41b;architecture one of mux41b isbeginprocess (a,b,c,d,s0,s1) beginif s0='0' and s1='0' then y<= a;end if;if s0='1' and s1='0' then y<= b;end if;if s0='0' and s1='1' then y<= c;end if;if s0='1' and s1='1' then y<= d;end if;end process;end architecture one;备注:以上是if—then语句设计的4选1多路选择器的vhdl描述。

数据选择器结论心得

数据选择器结论心得

数据选择器结论心得数据选择器是一种电子元件,它可用于选择输入信号中的某一位或某几位,并将其输出。

在数字电路中,数据选择器被广泛应用于多路复用器、解复用器、寄存器和计数器等电路中。

数据选择器的结构包括选通输入端、数据输入端、输出端和控制端。

选通输入端(也称为使能端)用于控制数据选择器是否工作;数据输入端则是输入信号的接口;输出端则是输出信号的接口;控制端则是用于控制数据选择器工作方式的接口。

在使用数据选择器时,需要设置控制端的状态,以便确定要从哪个输入信号中获取输出。

例如,在一个4:1多路复用器中,有4个输入信号和1个输出信号。

如果将两个二进制数A和B分别连接到第1和第2个输入信号上,则可以使用2位二进制数C来设置控制端的状态,以便从A或B中获取输出。

使用数据选择器时需要注意以下几点:1. 控制线与选通线:在使用多路复用器或解复用器时,需要将控制线与选通线正确连接。

如果连接不正确,则会导致错误的结果。

2. 输入信号与输出:在使用多路复用器或解复用器时,需要将输入信号与输出正确连接。

如果连接不正确,则会导致错误的结果。

3. 电路设计:在设计数字电路时,需要考虑数据选择器的使用。

如果使用不当,则会导致电路功能出现问题。

4. 信号干扰:在使用数据选择器时,需要注意信号干扰的问题。

如果信号干扰过大,则会导致数据选择器无法正常工作。

总之,数据选择器是数字电路中常用的元件之一,它可以帮助我们实现多路复用、解复用、寄存器和计数器等功能。

在使用数据选择器时,需要注意控制线与选通线的连接、输入信号与输出的连接、电路设计和信号干扰等问题。

只有正确地使用数据选择器,才能保证数字电路正常工作,并实现我们所需的功能。

数据选择器

数据选择器

数据选择器数据选择器(Multiplexer ):又称多路选择器,是一个数字开关,根据地址选择码从多路输入数据中选择一路,送到输出。

2-1n位地址选择信号D D 1D 0Y出n 输据据…入输数数常见的数据选择器产品有“2选1”、“4选1”、“8选1”、“16选1”。

以4选1为例:双列直插封装芯片4选1数据选择器示意图0D 0D 1D 2D 3××0 00 11 01 110000YA 1A 0E输出输入74LS153功能表实验内容一、用8选1数据选择器74LS151设计三输入多数表决电路;0D 0D 1D 2D 3D 4D 5D 6D 7×××0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1100000000YA 2 A 1A 0E输出输入74LS151功能表二、用两片74LS151实现逻辑函数:Σm(6,7,8,11,13)三、用双4选1数据选择器74LS153实现一位全加器00010111C n01101001S n 01010101C n-100110011B n 00001111A n 全加器真值表四、试用一片8选1数据选择器74LS151产生逻辑函数五、思考题:试用4选1 数据选择器实现一个简单的交通灯故障检测电路。

要求:每一组信号灯由红、黄、绿三盏灯组成。

正常工作情况下,任何时刻比有一盏灯点亮,而且只允许有一盏灯点亮。

而当出现其他五种点亮状态时,认为电路发生故障,这时要求发出故障信号,以提醒维护人员去修理。

数据选择器(MUX) 数电课件

端(低电平D有2效n )1,L。,D;1,D个0选择控制n 端
E
; 个输入 Y 2n
;A此n外1,L,还,有A一1,个A使0能端
二、4选1数据选择器(4—1MUX) 1. 逻辑功能
4—1MUX的逻辑功能是在两位选择控制信号的作用下,从4个输入信号中选择1个,送 至输出端。
2. 框图


A2
A1
A0
D6

A2 A1 A0D7

(公式4.3.2)
四、中规模集成数据选择器
8—1MUX 74LS151 的引脚图如图4.3.4—1所示。
图4.3.4—1
双4—1MUX 74LS153的引脚图如图4.3.4—2所示。 图4.3.4—2
五、数据选择器的应用 1. 数据选择器的级联
表4.3.2—1
5. 逻辑函数表达式
4—1MUX的逻辑函数表达式为
Y E A1 A0D0 E A1 A0D1 EA1 A0D2 EA1 A0D3
E A1 A0D0 A1A0D1 A1 A0D2 A1A0D3
6. 功能表(简化的真值表)
4—1MUX的功能表如表4.3.2—2所示。
图4.3.3—1
3. 功能表
8—1MUX的功能表如表4.3.3—1所示。
表4.3.3—1
4. 逻辑函数表达A2
A1
A0 D0

A2
A1A0 D1

Y

E


A2
A1
A0
D2

A2 A1A0D3

A2 A1 A0D4 A2 A1A0D5
4. 画出连线图如下图所示。 返回

VLSI设计基础(二选一多路选择器)

《VLSI设计基础》课程设计报告班级:电子科学与技术09级2班学号: 0906040216姓名:穆加林指导教师:李蕾1.摘要在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器,也称多路选择器或多路开关。

有2选1数据选择器、4选1数据选择器、8选1数据选择器、16选1数据选择器等之分二路选择器具有一个选择控制变量,当用来实现二变量函数功能时,应该首先输入选择控制变量来确定选择器的数据输入。

根据题目设计要求,完成二选一多路选择器设计。

我设计的是一个基于VHDL语言设计一个二选一多路选择器。

2.综述二选一多路选择器功能描述:输入端口a,b输入信号,在通道选择控制输入端口s输入低电频时,输出端口y输出a输入端口信号;在通道选择控制输入端口s输入高电频时,输出端口y输出b输入端口信号。

二选一多路选择器的电路图,如下图其中a,b是输入信号,s是通道选择信号,y是输出信号。

当s=0时,y=a;当s=1时,y=b。

三.方案设计与分析1.设计目的1)熟悉MAXPLUS2/Quartus II软件,掌握软件的VHDL程序输入、程序编译和程序仿真操作;2)学习利用VHDL语言设计二选一多路选择器电路程序;3)了解可多路选择器的工作方式。

2.设计要求采用Modelsim、Quartus II、Altium designer 中的任一种软件,基于Verilog或VHDL语言实现设计题目的功能仿真,完成设计;课程设计完成后,提交课程设计报告,要求提供编写的代码以及输出波形图3.设计的源程序及仿真1)、二选一多路选择器程序录入:LIBRARY IEEE; --IEEE 库使用说明USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux21 IS --器件mux21 的外部接口信号说明--PORT相当于器件的引脚,这一部分称为实体PORT ( a b : IN STD_LOGIC;s : IN STD_LOGIC;y : OUT STD_LOGIC );END ENTITY mux21; --器件mux21 的内部工作逻辑描述即--为实体描述的器件功能结构称为结构体ARCHITECTURE one OF mux21 ISBEGINy <= a WHEN s = '0' ELSEb WHEN s = '1' ;END ARCHITECTURE one;--器件mux21 的内部工作逻辑描述即--为实体描述的器件功能结构称为结构体ARCHITECTURE one OF mux21 ISBEGINy <= a WHEN s = '0' ELSEb WHEN s = '1' ;END ARCHITECTURE one;2)、系统设计仿真及分析a.系统功能仿真结果:图为二选一多路选择器功能仿真图b.仿真分析由上图可以看出1)当sel=0时,y=a,即当s=0时输出波形就是a输入端的输入波形。

电气自动化技术《教案-数据选择器》

数据选择器一、学习目标1.理解数据选择器的原理;2.掌握数据选择器的应用;3.了解数据选择器和分配器在数据传输中的应用;4.掌握数值比拟器和加法器原理;二、问题导入1〕数据选择器和译码器有什么不同?2〕数据选择器能像译码器一样实现逻辑函数么?3〕数据选择器和数值比拟器有哪些不同?三、知识点1.数据选择器在数字电路中常常需要从多路输入数据中选择其中一路送到数据总线上进行传送,能够实现这一功能的电路称为数据选择器〔Multiplexer,简称MUX〕,也可称为多路调制器或多路开关。

用MSI实现组合逻辑电路,事半功倍,而且连线少、体积小、可靠性高。

常用的数据选择器有2选1、4选1、8选1、16选1等几种。

1〕4选1数据选择器4选1数据选择器的功能示意框图如图1所示。

图1 4选1数据选择器示意框图图中D 3~D 0为数据输入端,其个数称为通道数,本例中为4通道。

A 1、A 0为地址输入端,根据A 1、A 0的取值,电路的输出选取D 3~D 0中的一个。

其逻辑功能如表所示。

ST 为选通端,当ST =0时,选择器工作;当ST =1时,选择器不工作,输出Y =0。

输出函数的逻辑式为301201101001D A A D A A D A A D A A Y +++=表1 真值表逻辑图: 图2 4选1数据选择器逻辑电路 2〕集成数据选择器集成数据选择器的芯片种类很多,常用的有2选1:CT54157、CT541158;4选1:CT54LS153、CT54LS353;8选1:CT74151、CT74LS251;16选1:CT54150等。

CT 74151的外引脚排列见图3所示,逻辑符号见图图4所示。

8 选 1 数据选择器图3 CT 74151的外引脚排列见逻辑符号:图4 CT 74151逻辑符号选通控制端— S :,选择器被禁止时当 1 =S 1 0==Y Y),选择器被选中(使能时当 0 =S012701210120A A A D A A A D A A A D Y +⋅⋅⋅++= 集成数据选择器的扩展两片 8 选 1〔74151〕-16 选 1数据选择器图5 两片8 选1〔74151〕数据选择器2.用数据选择器实现组合逻辑电路1).用数据选择器实现组合逻辑函数根本原理和步骤(1〕原理:选择器输出为标准与或式,含地址变量的全部最小项。

二选一数据选择器报告

EDA实验报告组合电路设计一、实验目的1、熟悉quartusⅡ的VHDL文本设计全过程,2、学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。

二、实验内容1、实验内容2:将此多路选择器看成一个元件mux21a,利用元件例化语句描述成三选一,然后进行编译、综合、仿真。

2、实验内容3:引脚锁定以及硬件下载测试。

选实验电路模式5,用键1(PIO0)控制s0;用键2(PIO1)控制s1;a3、a2和a1分别接clock5、clock0和clock2;输出信号outy仍接spker,通过短路帽选择clock0接256Hz信号,clock5接1024Hz信号,aclock2接8 Hz信号。

最后进行编译、下载和硬件测试实验。

三、实验器材PC机一台、Quartus II软件、EDA实验箱一台、下载电缆一根(已接好)。

四、实验程序实验内容2:三选一library ieee;use ieee.std_logic_1164.all;entity muxk isport(a1,a2,a3: in std_logic;s0,s1: in std_logic;outy: out std_logic);end entity muxk;architecture bhv of muxk iscomponent mux21aport( a,b: in std_logic;s: in std_logic;y: out std_logic);end component;signal tmp: std_logic;beginu1: mux21a port map(a=>a2,b=>a3,s=>s0,y=>tmp);u2: mux21a port map(a=>a1,b=>tmp,s=>s1,y=>outy);end architecture bhv;五、实验步骤实验二:在实验一的基础上,新建VHDL文件,命名为muxk。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA实验报告
课程名称EDA 实验项目16选1多多路数据选择
器设计物电学院班姓名
同组实验者指导老师
1.实验目的
1.掌握组合逻辑电路的设计方法;
2.熟悉并行信号赋值语句;
2. 实验条件
1.输入:拨码开关;
2.输去:发光二极管;
芯片:epm7128slc84-15;
3. 实验内容
1.设计并实现16选1数据选择;
4.实验原理
EN=1时,关闭导通。

EN=0时,工作正常。

2.VHDL程序
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY AAA IS
PORT(SEL:IN STD_LOGIC_VECTOR(3 DOWNTO 0); DATA:IN STD_LOGIC_VECTOR(15 DOWNTO 0); EN:IN STD_LOGIC;
OUTPUT:OUT STD_LOGIC);
END ENTITY AAA;
ARCHITECTURE MIH OF AAA IS
SIGNAL OUTTEN:STD_LOGIC;
BEGIN
OUTTEN<=DATA(0) WHEN SEL="0000" ELSE
DATA(1) WHEN SEL="0001" ELSE
DATA(2) WHEN SEL="0010" ELSE
DATA(3) WHEN SEL="0011" ELSE
DATA(4) WHEN SEL="0100" ELSE
DATA(5) WHEN SEL="0101" ELSE
DATA(6) WHEN SEL="0110" ELSE
DATA(7) WHEN SEL="0111" ELSE
DATA(8) WHEN SEL="1000" ELSE
DATA(9) WHEN SEL="1001" ELSE
DATA(10) WHEN SEL="1010" ELSE
DATA(11) WHEN SEL="1011" ELSE
DATA(12) WHEN SEL="1100" ELSE
DATA(13) WHEN SEL="1101" ELSE
DATA(14) WHEN SEL="1110" ELSE
DATA(15) WHEN SEL="1111" ELSE
'0';
WITH EN SELECT
OUTPUT<=OUTTEN WHEN '0',
'Z' WHEN OTHERS;
END ARCHITECTURE MIH;
5 .实验结果
1.锁定管脚
2.仿真结果。

相关文档
最新文档