多周期微处理器设计

多周期微处理器设计
多周期微处理器设计

微处理器系统设计

课程设计

版本v1.0

专业:集成电路设计与集成系统班级:电路1303班

姓名:周小朋

学号:05136085

2016年6月20 ~ 2016年7月1日

多周期微处理器设计

注:非正式版,仅为初稿

一、功能描述

MIPS微处理器是典型的32位定长指令字RISC处理器,此次设计的微处理器是基于verilogHDL语言、兼容MIPS指令格式、带有I/O接口和中断处理电路、能够实现26条指令功能,并且可以在DE2开发板上进行下载验证的多周期CPU。可以实现的指令包括,R型:add、addu、sub、subu、and、or、xor、nor、slt、sltu、sll、srl、sra、jr;I型:addi、addiu、lui、andi、ori、xori、lw、sw、beq、bne;J型:jal、jump。并且可以实现一段流水灯程序,程序的样式为1)10101010->010*******切换间隔为0.5秒2)11110000->00001111,切换间隔为1秒。两种样式通过按键控制。

二、设计方案

1.总体设计方案

此次设计是在前期课程已经设计好的单周期CPU上进行多周期CPU的设计,多周期指令的执行分为5个阶段:取指、译码、执行、访存、回写。由于PC,指令存储器只能在需要时写入新值,因此必须有“写使能信号”控制。可以用状态机来实现5个状态的转换以及控制信号的产生。来控制此次设计要实现一段流水灯程序,总共有两组不同的花型切换。可以先利用ori指令初始化第一组花型到两个寄存器,再利用SW指令将存到寄存器的花型读出存储到IO接口,通过LED显示,最后用J指令实现花型的循环,此即使第一组花型的实现。接下来考虑按键切换到下一组花型,可以使用按键产生一个中断信号,当按下按键时,进入中断程序,在中断程序里边存储第二个花型及其花型切换与循环,实现方式与花型一相同。时间的控制可以利用指令的条数进行控制,通过分频产生一个标准时钟,sw占用4个时钟周期,j型占用2个时钟周期,可以通过指令的条数来控制题目所需要的0.5s与1s。花型跳转示意图如下:

图1.流水灯实现示意图

总体设计框图如下:

图2.多周期CPU总体设计框图

接口信号说明:

信号名称方向说明备注

Clk_50M IN DE2开发板提供的时钟频率

Rst IN Cpu复位信号

Int0 IN 中断0信号

Int1 IN 中断1信号

Led OUT Led的输出信号,即流水灯花型输出

表1:多周期CPU接口信号说明

2.详细设计方案

2.1中断设计方案

此次设计的处理器有两个中断,int0和int1,其中int0的优先级比int1高。Cpu在响应中断之前,应该先保存中断的断点返回地址。中断返回地址保存在专用寄存器中,int0保存在$26,int1保存在$27。为了解决中断优先级和嵌套,引入屏蔽寄存器,如下图

图3.中断屏蔽寄存器

Int0相应条件为imask[0]==0,in1相应条件为imask[1]==0,imask[0]==0。

下来是入口地址的确定,响应中断时,可以修改PC为中断程序的地址,即中短入口地址。最后通过JR指令返回程序断点。

结构框图如下:

图4.中断结构框图

当中断信号有效时,将intpc存入寄存器,并且修改PC的值为中断入口地址

接口信号说明:

信号名称方向说明备注

Clock IN Cpu工作频率

Wpc IN PC的写使能信号

Int0 IN 中断0信号

Int1 IN 中断1信号

PC OUT 程序指针,中断时值为中断入口地址

IntPC OUT 输出到寄存器的中断断点地址

表2:中断接口信号说明

2.2.IO接口设计方案

此次设计的IO和与存储器采用统一编址的方式,地址空间如下图所示,

图5.IO与RAM地址空间分配

IO地址空间为:FF00H~FFFFH,存储器地址空间为:0000H~0FFFH。当立即数的高8位为FF时,对IO进行读写,否则为存储器的读写。

IO的实现如下结构所示,LED_CTRL与KEY_ctrl分别为LED与键盘的片选信号

图6.IO结构框图

表3:IO接口信号说明

三、指令分析

3.1、指令功能分析

1、R型指令分析

此次实现的R型指令包括:add、addu、sub、subu、and、or、xor、nor、slt、sltu、sll、srl、sra、jr。指令的[31:26]位为OP操作码,[25:21] 位为rs寄存器的地址,[20:16] 位为rt寄存器的地址、[15:11]位为rd寄存器的地址、[10:6] 位为移位操作移位的位数,[5:0]位为功能码。

表4 R型指令分析

2、I型指令分析

此次实现的I型指令包括:addi、addiu、lui、andi、ori、xori、lw、sw、beq、bne。指令的[31:26]位为OP操作码,[25:21] 位为rs寄存器的地址,[20:16] 位为rt寄存器的地址、[15:0]位为立即数。

表5 I型指令分析

3、J型指令分析

此次实现的I型指令包括:jal、jump。指令的[31:26]位为OP操作码,[25:0] 位为地址值。

表6 J型指令分析

3.2指令执行过程分析

图7.指令执行过程分析

三、ROM与RAM替换

调用DE2开发板的IPmemory资源,具体步骤如下

J-type op

jal000011jal 10000

$31<-PC+4;

goto 10000

$31<-PC+4 ; PC <-

(PC+4)[31..28],address,0,0

;address=10000/4

jump000010

j 10000

goto 10000

PC <-(PC+4)[31:

28],address,0,0;

address=10000/4

address

address

address

图8.调用IP核实现指令ROM

图9.调用IP核实现指令ROM

四、验证方案:

1.cpu功能验证

此次设计的仿真验证程序为:先运行主程序,接着调用加法子程序,完成对四个数加法得到结果并存储,涉及到的指令有lui、ori、addi、jal、add、lw、bne、sll、jr。接着进行其他指令的验证,指令包括:sw、sub、subu、addu、xori、addiu、andi、or、xor、and、nor、sra、slt、sltu

jump。

数据存储器存储的数据如下:

Ram[14]:000000A3; % 0+A3=A3

Ram[15]:00000027; % A3+27=CA

Ram[16]:00000079; % CA+79=143

Ram[17]:000000115; % 143+115=258

仿真验证时,子程序运行后加法的结果应为258。

程序具体的功能以及涉及到的寄存器、运算结果如下所示:

5'h00=32'h3c01_0000; //00 lui main r1,0

5'h01=32'h3424_0050; //04 ori r4,r1,80

5'h02=32'h2005_0004; //08 addi r5,r0,4

5'h03=32'h0c00_0018; //0c jal call:jal sum

5'h04=32'hac82_0000; //10 sw r2,0(r4)

5'h05=32'h8c89_0000; //14 lw r9,0(r4)

5'h06=32'h0124_4022; //18 sub sub r8,r9,r4

5'h07=32'h2005_0003; //1c addi r5,r0,3

5'h08=32'h01a5_ff21; //20 addu

5'h09=32'h34a8_ffff; //24 ori r8,r5,0xffff

5'h0A=32'h3908_5555; //28 xori r8,r8,0x5555

5'h0B=32'h240a_ffff; //2c addiu

5'h0C=32'h312a_ffff; //30 andi r10,r9,0xffff

5'h0D=32'h0145_3025; //34 or r6,r10,r5

5'h0E=32'h0148_4026; //38 xor r8,r10,r8

5'h0F=32'h0146_3824; //3c and r7,r10,r6

5'h10=32'h014a_4027; //40 nor

5'h11=32'h0008_4400; //44 sll r8,r8,16

5'h12=32'h0008_4403; //48 sra r8,r8,16

5'h13=32'h0005_43c0; //4c sll r8,r5, 15

5'h14=32'h0008_43c2; //50 sll r8,r8,15

5'h15=32'h0008_432a; //54 slt

5'h16=32'h0008_432b; //58 sltu

5'h17=32'h0800_0017; //5c jump finish:dead loop

5'h18=32'h0000_4020; //60 add r8,r0,r0

5'h19=32'h8c89_0000; //64 lw r9,0(r4)

5'h1A=32'h2084_0004; //68 addi r4,r4,4

5'h1B=32'h0109_4020; //6c add r8,r8,r9

5'h1C=32'h20a5_ffff; //70 addi r5,r5,-1

5'h1D=32'h14a0_fffb; //74 bne r5,r0,loop

5'h1E=32'h0008_1000; //78 sll r2,r8,0

5'h1F=32'h03e0_0008; //7c jr r31 return

4.2,LED流水灯实现验证方案

设计一段实现题目要求的流水灯的花型,程序如下:001 : 342400aa;

002 : 34250155;

003 : ac04ff00;

004 : ac04ff00;

005 : ac05ff00;

006 : 08000003;

007 : 342600f0;

008 : 3427000f;

009 : ac06ff00;

00a : ac06ff00;

00b : ac06ff00;

00c : ac06ff00;

00d : ac07ff00;

00e : ac07ff00;

00f : ac07ff00;

010 : ac07ff00;

011 : 08000009;

4.2.1仿真验证

通过modelsim进行仿真分析,验证led输出是否为aa~155,短信号后为f0

,0f

4.2.2下载到开发板进行验证

此次下载用的开发板型号为DE2,用quartus进行综合布局布线以及下载,如下图所示:

图10.DE2开发板

图11.综合布局布线、生成下载文件

图12.综合报告(占用的资源)

图图13.管脚分配

图14.下载成功

四、结果分析:

1、逻辑功能仿真分析在Modelsim中对设计仿真,对照验证方案对仿真结果进行指令功能分析。仿真结果图所示。

2、下载程序仿真分析:Modelsim中对设计仿真,对照验证方案对仿真结果进行下载验证仿真分析。仿真结果图所示

3、下载验证:下载到开发板上,对设计结果进行说明;

结果如下图所示。

微处理器课程设计报告

桂林航天工业学院 课程设计报告 系(部):电子工程与自动化学院专业班级:xxxx班学生姓名:xxx学号:xxxxxx 课程:微处理器与接口技术课程设计 设计题目:简易波形发生器 完成日期:2016年01月04日 指导教师评语: 成绩(五级记分制): 指导教师(签字):____

摘要 本系统是基于STC89C52单片机的数字式低频信号发生器。采用STC89C52单片机作为控制核心,外围采用数字/模拟转换电路(DAC0832)、运放电路(LM324)、按键和8位数码管等。通过按键控制可产生方波、三角波、正弦波等,同时用数码管指示其对应的频率。其设计简单、性能优好,可用于多种需要低频信号的场所,具有一定的实用性。 各种各样的信号是通信领域的重要组成部分,其中正弦波、三角波和方波等是较为常见的信号。在科学研究及教学实验中常常需要这几种信号的发生装置。为了实验、研究方便,研制一种灵活适用、功能齐全、使用方便的信号源是十分必要的。 本文介绍的是利用STC89C52单片机和数模转换器件DAC0832产生所需不同信号的低频信号源,其信号幅度和频率都是可以按要求控制的。文中简要介绍了DAC0832数模转换器的结构原理和使用方法,STC89C52的基础理论,以及与设计电路有关的各种芯片。文中着重介绍了如何利用单片机控制D/A转换器产生上述信号的硬件电路和软件编程。信号频率幅度也按要求可调。 本次关于产生不同低频信号的信号源的设计方案,不仅在理论和实践上都能满足实验的要求,而且具有很强的可行性。该信号源的特点是:体积小、价格低廉、性能稳定、实现方便、功能齐全。 关键词: STC89C52 DAC0832 LM324 数码管 Abstract The Waveform The system is a digital signal generator based on single chip computer.STC89c52 is used as a control microcontroller core.The system is composed by digital/analog comversion(DAC0832), imply circuit,button and nixie tube.It can generate the square, triangle and sine wave,with nixie tube.The system can be used for a signal soure in the low-frequency signal soure.It is very practical. Various signals are an important part of correspondent area. In this area, sine wave, triangle wave and square wave are common signals. In science research and teaching experiment, we often need the occurrence equipment of these signals. In order to make the experiment and research easier, to develop a suitable, full functional and easily used signals

《简单的周期》教学设计

苏教版四年级数学上册 《简单的周期》教案及反思陶红 教学内容:苏教版教材小学数学第七册30页~31页实践活动。 教材简析:周期现象是有规律的现象,规律表现为一种周而复始、循环出现的结构,这种确定的结构是现象的周期。周期现象的教学价值在于培养学生通过眼前预料以后、通过部分把握整体、通过有限想像无限的能力,使学生形成探寻规律、发现规律、遵循规律、利用规律的数学意识。 设计思路: 课堂上通过小组交流、班级汇报分享成果;利用学生对问题的讨论结果,进行例题学习,以问促思,从而培养学生发现问题、提出问题、解决问题的能力。同时采用不同形式、不同层次的讲解,在加强对学生在体会不同策略解决问题的同时,逐步对方法进行优化,促进了学生思维能力的提高。最后,对生活中周期现象的收集,让学生体会到数学与生活密不可分,从而产生对数学学习深厚的兴趣,对知识强烈的渴求。 学情分析: 四年级学生已具有一定探究规律的能力,有一定的生活经验,能够从生活中发现一些简单的周期规律现象,只是他们还不能完整清晰地表述其规律,借助具体的现象去观察,能够从部分推断出整体情况。在有规律的分组中,学生能够与已掌握的有余数的除法计算经验联系起来。教师只要调动学生的学习需求,启发学生理解周期现象的结构特点,创造充分的自主探究、合作交流的学习过程。学生能够寻求解决周期问题的策略,并体会除法计算的优越性。 教学目标: 1、通过数学活动的情境,学生探索并发现简单周期现象中的排列规律,能够用简洁准确的语言描述规律,并根据规律确定某个序号所代表的是什么物体或图形。 2、通过自主探索合作交流,学生主动经历自主探索、合作交流的过程,体会画图、列举、计算等解决问题的不同策略以及方法逐步优化的过程。 3、经历一个数学化的过程,使学生对解决问题的方法进行优化,理解和掌握用除法计算解决问题的方法;体会数学与日常生活的联系,获得成功的体验。 教学重点: 让学生经历探索和发现规律的过程,体会画图、分类、计算等多样化的解决问题的策略,掌握用除法的逐步优化过程。 教学难点:

MIPS单周期CPU设计2018版体会

11条MIPS指令单周期CPU设计 2018元月份,我按照袁春风老师的第三版教材,又重新设计了11条MIPS 指令的单周期CPU。这次的设计与我2017年7月份的单周期CPU还是有些区别。2017年7月份设计的CPU主要是参考《计算机组成与设计-硬件/软件接口David A.Patterson》。 设计中的几点体会如下: 一.基本流程 1.首先要分析清楚这11条指令的格式和特点。按照MIPS指令的格式和特 点,完全掌握它们的功能和执行的过程。 2.这些指令执行过程中需要哪些硬件部件和控制信号,这些部件如何连接 构成数据通路。 3.对所有需要的控制信号进行归纳分析,列出真值表,设计相应的控制电 路。 二.具体设计过程 1.ALU的设计。ALU的设计重点在于先设计出32位的加法器,减法运算是利用 加法的“变反加一”。同时要产生各种运算结果的信号:溢出(OF)、进位(CF)、符号位(SF)、零符号位(Zero)。OF=C n⊕C n-1;CF=C out⊕C in。至于需要其它的运算指令,如逻辑运算、移位运算、乘除法运算,都可以直接调用logisim库中的器件,添加到ALU中,这些运算的结果可以同时产生,只是最后用多路选择器来选择那种指令的结果输出,用的控制信号是OPctr。在ALU中需要设计一个控制信号生成部件,用于产生ALU内部需要的各种控制信号。见袁春风老师教材P155。(第一次实验:ALU部件的设计)(ALU设计中,现在的加法器只是串行的,可以让好的学生用先行进位加法器)

图1:ALU电路图 2.设计寄存器部件。设计一个32个*32位的寄存器部件。两路输入和两路输出, 可读写。(第二次实验:寄存器部件的设计) 图2:寄存器电路图 3.数据通路的设计。通路的设计不能急于求成,要一条指令一条指令来分析和 设计。首先设计R-type中的add、sub、subu、slt、sltu等的通路。要注意是否要判断溢出。在此基础上,再分析I-type带立即数运算指令的数据通路,分析出需要添加哪些部件。再分析sw、lw指令的数据通路,分支指

微处理器系统结构与嵌入式系统设计(第2版) 第3章答案

“微处理器系统原理与嵌入式系统设计”第三章习题解答 3.1处理器有哪些功能?说明实现这些功能各需要哪些部件,并画出处理器的基本结构图。 处理器的基本功能包括数据的存储、数据的运算和控制等功能。其有5个主要功能:①指令控制②操作控制③时间控制④数据加工⑤中断处理。其中,数据加工由ALU 、移位器和寄存器等数据通路部件完成,其他功能由控制器实现。处理器的基本结构图如下: 寄存器组 控制器 整数单元 浮点单元 数据通路 处理器数据传送 到内存数据来自内存数据传送到内存指令来自内存 3.2处理器内部有哪些基本操作?这些基本操作各包含哪些微操作? 处理器基本操作有:取指令、分析指令、执行指令。 取指令:当程序已在存储器中时,首先根据程序入口地址取出一条程序,为此要发出指令地址及控制信号。 分析指令:对当前取得的指令进行分析,指出它要求什么操作,并产生相应的操作控制命令。 执行指令:根据分析指令时产生的“操作命令”形成相应的操作控制信号序列,通过运算器、存储器及输入/输出设备的执行,实现每条指令的功能,其中包括对运算结果的处理以及下条指令地址的形成。 3.3什么是冯·诺伊曼计算机结构的主要技术瓶颈?如何克服? 冯·诺伊曼计算机结构的主要技术瓶颈是数据传输和指令串行执行。可以通过以下方案克服:采用哈佛体系结构、存储器分层结构、高速缓存和虚拟存储器、指令流水线、超标量等方法。

3.5指令系统的设计会影响计算机系统的哪些性能? 指令系统是指一台计算机所能执行的全部指令的集合,其决定了一台计算机硬件主要性能和基本功能。指令系统一般都包括以下几大类指令。:1)数据传送类指令。(2)运算类指令 包括算术运算指令和逻辑运算指令。(3)程序控制类指令 主要用于控制程序的流向。 (4)输入/输出类指令 简称I/O 指令,这类指令用于主机与外设之间交换信息。 因而,其设计会影响到计算机系统如下性能: 数据传送、算术运算和逻辑运算、程序控制、输入/输出。另外,其还会影响到运算速度以及兼容等。 3.9某时钟速率为2.5GHz 的流水式处理器执行一个有150万条指令的程序。流水线有5段,并以每时钟周期1条的速率发射指令。不考虑分支指令和乱序执行带来的性能损失。 a)同样执行这个程序,该处理器比非流水式处理器可能加速多少? b)此流水式处理器是吞吐量是多少(以MIPS 为单位)? a.=51p T nm S T m n =≈+-串流水 速度几乎是非流水线结构的5倍。 b.2500M IPS p n T T =≈流水 3.10一个时钟频率为2.5 GHz 的非流水式处理器,其平均CPI 是4。此处理器的升级版本引入了5级流水。然而,由于如锁存延迟这样的流水线内部延迟,使新版处理器的时钟频率必须降低到2 GHz 。 (1) 对一典型程序,新版所实现的加速比是多少? (2) 新、旧两版处理器的MIPS 各是多少? (1)对于一个有N 条指令的程序来说: 非流水式处理器的总执行时间s N N T 990 106.1)105.2/()4(-?=??= 5级流水处理器的总执行时间s N N T 991 10)4(2)102/()15(-?+=?-+= 加速比=42.310 +=N N T T ,N 很大时加速比≈3.2 (2)非流水式处理器CPI=4,则其执行速度=2500MHz/4=625MIPS 。 5级流水处理器CPI=1,则其执行速度=2000 MHz /1=2000 MIPS 。 3.11随机逻辑体系结构的处理器的特点是什么?详细说明各部件的作用。 随机逻辑的特点是指令集设计与硬件的逻辑设计紧密相关,通过针对特定指令集进行

简单微处理器的设计

简单微处理器的设计 摘要:本课程设计采用EDA技术设计简单微处理器。系统设计采用自顶向下的设计方法。它由数的输入,数的比较,数的交换和结果输出四部分组成。系统实现采用硬件描述语言VHDL把系统电路按模块化方式进行设计,然后进行编程、时序仿真并分析。系统结构简单,使用方便,功能齐全,精度高,具有一定的应用价值。 关键词:处理器;输入;比较;交换

目录 1 引言 (1) 1.1课题设计的背景、目的 (1) 1.2 课程设计的内容 (1) 2 EDA、VHDL简介 (2) 2.1 EDA简介 (2) 2.2 VHDL简介 (2) VHDL语言的特点 (2) VHDL的设计流程 (3) 3 简单微处理器的设计过程 (4) 3.1设计规划 (4) 3.2 各模块设计及相应程序 (4) 4 系统仿真 (8) 1.数的输入. (8) 2 数的比较。 (8) 3 交换两个数。 (9) 4 结果输出(从小到大). (9) 结束语 (11) 致谢 (12) 参考文献 (13) 附录 (14)

1 引言 随着社会的发展,科学技术也在不断的进步。特别是计算机产业,可以说是日新月异,而处理器,作为计算机中的一个重要部分,其性能从很大程度上决定了计算机的性能。本设计介绍的简单微处理器,要求具有以下验证程序所要求的功能:输入包含10个整数(无符号数)的数组M,按从小到大的顺序输出这10个数。 1.1课题设计的背景、目的 微处理器技术的发展是与微电子技术即大规模集成电路技术的发展分不开的。微电子技术以每18个月集成度提高一倍的速度迅速发展。20世纪80年代初,主要是16位微处理器8086/8088。1985年推出了80386微处理器,完成了16位体系结构向32位体系结构的转变。1989年80486出现了。80486的设计目标是提高指令执行速度和支持多处理器系统。80486在芯片内部增加一个8KB的高速缓冲存储器(cache),还增加了相当于80387的浮点部件(FPU),在基本指令的实现上,采用硬布线逻辑而不是微程序技术。1993年3月,Intel公司推出了第一代“奔腾”微处理器(Pentium),微处理器技术发展进入了一个新的阶段。到目前为止,“奔腾”已有四代产品。“奔腾”的设计思想是把如何提高微处理器内部指令执行的并行性作为主导。指令执行的并行性越好,微处理器的性能就越高。 本次设计的目的就是了解并掌握VHDL硬件描述语言的设计方法和思想,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识,学习VHDL基本单元电路的综合设计应用。通过对实用电子称的设计,巩固和综合运用所学课程,理论联系实际,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。通过课程设计深入理解VHDL语言的精髓,达到课程设计的目标。 1.2 课程设计的内容 本设计主要介绍的设计一台简单微处理器,要求具有以下验证程序所要求的功能:输入包含10个整数(无符号数)的数组M,按从小到大的顺序输出这10个数。 ( 1 )程序开始及输入10个数据。 ( 2 )数的比较。

四年级简单的周期教学设计

《简单的周期》教学设计 实验小学阚黎 教学内容: 苏教版小学数学第七册第30、31页 教材分析: 《简单的周期》是新教材增加的新内容,重在“发现”,发现规律的价值是帮助学生解决问题。教材精选了生活中按规律摆放的盆花、彩灯、彩旗等场景,把学生的注意力集中到对不同物体摆放规律的观察上,在教师的指导下经历探索规律和解决问题的过程。 学情分析: 四年级学生已具有一定探究规律的能力,有一定的生活经验,能够从生活中发现一些简单的周期规律现象,只是他们还不能完整清晰地表述其规律,借助具体的现象去观察,能够从部分推断出整体情况。在有规律的分组中,学生能够与已掌握的有余数的除法计算经验联系起来。教师只要调动学生的学习需求,启发学生理解周期现象的结构特点,创造充分的自主探究、合作交流的学习过程。学生能够寻求解决周期问题的策略,并体会除法计算的优越性。 教学目标: 1.使学生结合具体情境,探索并发现简单周期现象中的排列规律,能根据规律确定某个序号所代表的是什么物体或图形。 2.使学生主动经历自主探索、合作交流的过程,体会画图、列举、计算等解决问题的不同策略以及方法逐步优化的过程。

3.使学生在探索规律的过程中体会数学与日常生活的联系,获得成功的体验。 设计理念: 《简单的周期》一课,原是苏教版五年级上册第五单元的内容,新教材将它提前放在了四年级上册中。在没有学过画图法、列举法时,来学习本课时内容,这对学生活动的层次性和实效性要求就特别高。因此在教学中我主要设计了三个层次,首先是初步感知并引发兴趣,其次是深入并归纳规律,最后是回顾与反思。 教学重点: 在探索和发现规律的过程,让学生选择合适的策略解决这类排列规律的问题。 教学难点: 在解决策略中,确定几个物体为一组,怎样根据余数来确定某个序号所代表的是什么物体或图形。 教学具准备: 多媒体课件 教学方法: 谈话法、探究法、小组合作法、讲授法 教学过程: 一、游戏导入,激发兴趣 1、小游戏: (1)比比谁的记忆力强:

计算机组成原理实验报告单周期cpu的设计与实现

1个时钟周期 Clock 电子科技大学计算机科学与工程学院 标 准 实 验 报 告 (实验)课程名称: 计算机组成原理实验 电子科技大学教务处制表 电 子 科 技 大 学 实 验 报 告 学生姓名: 郫县尼克杨 学 号: 2014 指导教师:陈虹 实验地点: 主楼A2-411 实验时间:12周-15周 一、 实验室名称: 主楼A2-411 二、 实验项目名称: 单周期CPU 的设计与实现。 三、 实验学时: 8学时 四、 实验原理: (一) 概述 单周期(Single Cycle )CPU 是指CPU 从取出1条指令到执行完该指令只需1个时钟

周期。 一条指令的执行过程包括:取指令→分析指令→取操作数→执行指令→保存结果。对于单周期CPU 来说,这些执行步骤均在一个时钟周期内完成。 (二) 单周期cpu 总体电路 本实验所设计的单周期CPU 的总体电路结构如下。 (三) MIPS 指令格式化 MIPS 指令系统结构有MIPS-32和MIPS-64两种。本实验的MIPS 指令选用MIPS-32。以下所说的MIPS 指令均指MIPS-32。 MIPS 的指令格式为32位。下图给出MIPS 指令的3种格式。 本实验只选取了9条典型的MIPS 指令来描述CPU 逻辑电路的设计方法。下图列出了本实验的所涉及到的9条MIPS 指令。 五、 实验目的 1、掌握单周期CPU 的工作原理、实现方法及其组成部件的原理和设计方法,如控制器、26 31 221 216 15 11 1 6 5 0 op rs rt rd sa func R 型指令 26 31 221 216 15 0 op rs rt immediate I 型指令 26 31 20 op address J 型指令

嵌入式微处理器系统读书报告

《嵌入式微处理器系统》专题读书报告 姓名:全妤

1、引言 随着医疗电子、智能家居、物流管理和电力控制等方面的不断风靡,嵌入式系统利用自身积累的底蕴经验,重视和把握这个机会,想办法在已经成熟的平台和产品基础上与应用传感单元的结合,扩展物联和感知的支持能力,发掘某种领域物联网应用。作为物联网重要技术组成的嵌入式系统,嵌入式系统的视角有助于深刻地、全面地理解物联网的本质。 2、嵌入式系统的概念 嵌入式系统被定义为以应用为中心、计算机技术为基础、软件硬件可裁剪、适应应用系统对功能、可靠性、成本、体积功耗严格要求的专用计算机系统。 2.1嵌入式系统的组成 一个嵌入式系统装置一般都由嵌入式计算机系统和执行装置组成。嵌入式计算机系统是整个嵌入式系统的核心,由硬件层、中间层、系统软件层和应用软件层组成。执行装置也称为被控对象,它可以接受嵌入式计算机系统发出的控制命令,执行所规定的操作或任务。 2.1.1 硬件层 硬件层中包含嵌入式微处理器、存储器(SDRAM、ROM、Flash等)、通用设备接口和I/O接口(A/D、D/A、I/O等)。

在一片嵌入式处理器基础上添加电源电路、时钟电路和存储器电路,就构成了一个嵌入式核心控制模块。其中操作系统和应用程序都可以固化在ROM中。 1)嵌入式微处理器 嵌入式系统硬件层的核心是嵌入式微处理器,嵌入式微处理器与通用CPU最大的不同在于嵌入式微处理器大多工作在为特定用户群所专用设计的系统中,它将通用CPU许多由板卡完成的任务集成在芯片内部,从而有利于嵌入式系统在设计时趋于小型化,同时还具有很高的效率和可靠性。 2)存储器 嵌入式系统需要存储器来存放和执行代码。嵌入式系统的存储器包含Cache、主存和辅助存储器。 3)通用设备接口和I/O接口 嵌入式系统和外界交互需要一定形式的通用设备接口,如A/D、D/A、I/O等,外设通过和片外其他设备的或传感器的连接来实现微处理器的输入/输出功能。每个外设通常都只有单一的功能,它可以在芯片外也可以内置芯片中。外设的种类很多,可从一个简单的串行通信设备到非常复杂的802.11无线设备。

微处理器原理及其系统设计 第一章答案

第1章 1.将下列十进制数转换成二进制数: (1)58;(2)67.625; (3)5721; 解:(1)58D = 0011 1010B (2)67.625D = 0100 0011.1010B (3)5721D = 0001 0110 0101 1001B 2.将二进制数变换成十六进制数: (1)1001 0101B;(2)11 0100 1011B;(3)1111 1111 1111 1101B;(4)0100 0000 10101B;(5)0111 1111B;(6)0100 0000 0001B 解:(1)1001 0101B = 95H (2)11 0100 1011B = 34BH (3)1111 1111 1111 1101B = FFFDH (4)0 1000 0001 0101B = 815H (5)0111 1111B = 7FH (6)0100 0000 0001B = 401H 3.将十六进制数变换成二进制数和十进制数: (1)78H;(2)0A6H;(3)1000H;(4)0FFFFH 解:(1)78H = 120D = 0111 1000B (2)0A6H = 166D = 1010 0110B (3)1000H = 4096D = 0001 0000 0000 0000H (4)0FFFFH = 65535D = 1111 1111 1111 1111B 4.将下列十进制数转换成十六进制数: (1)39;(2)299.34375;(3)54.5625 解:(1)39D = 27H (2)299.34375D = 12B.58H (3)54.5625D = 36.9H 5.将下列二进制数转换成十进制数: (1)10110.101B;(2)10010010.001B;(3)11010.1101B 解:(1)10110.101B = 22.625D

嵌入式系统课程设计---基于ARM微处理器的数码管驱动设计-任务书(1)

河南工业大学嵌入式课程设计 课程设计题目:基于ARM微处理器的数码管驱动设计学院:信息科学与工程学院 班级:电科1304 姓名: 学号:2013160304 指导老师姓名:李智慧

13级电科专业课程设计任务书

基于ARM微处理器的数码管驱动设计 一、设计要求 1.1实验内容: 1. 利用ARM微处理器实现数码管显示驱动; 2.能够显示0 1 2 3 4 5 6 7 8 9等数字,且循环显示; 3. 通过按键改变循环显示速度; 1.2实验设备: 硬件:PXA270 实验平台,PXA270 ARM 标准/增强型仿真器套件,PC 机。 软件:VMware Workstation、Ubuntu、win7。 二、设计方案 本次试验选用的芯片为 PXA270 ,使用的是 PXA270集成试验箱。基于 IntelXScale 架构的 PXA270处理器,集成了存储单元控制器、时钟和电源控制器、 DMA控制器、 LCD控制器、 AC97控制器、 I2S 控制器、快速红外线通信 (FIR) 控制器等外围控制器,可以实现丰富的外围接口功能。其低电源运行模式以及动态电源管理技术可以有效的降低电源的功耗。使用试验箱上的 4X4 的矩阵键盘作为输入,用来控制数码管显示的快慢速度,将需要输出的各个数码管状态从两个数码管中输出。 三、设计原理 1、数码管结构 七段数码管由 8 个发光二极管排列组成(包括小数点位)如下图所示: 这 8 个独立的二极管通常被命名: a.b.c.d.e.f.g.h 。 h 表示小数点。利用 7 段数码管能显示所有数字以及部分英文字母。 数码管有 2 种不同的形式:一种是 8 个发光二极管的阳极都连一起,成为共 阳极 8 段数码管如图所示: 共阳极 8 段数码管的 8 个发光二极管的正极一起接 VCC ,要控制数码管中的某一段亮,比如 A段,只须要控制数码管的 A 脚为低电平就可以了,反之熄灭 A 段就控制 A 脚为高电平。

人教版小学数学三年级下册6.2《计算简单的经过时间》教学设计

《计算简单的经过时间》 一、教学目标 (一)知识与技能 初步理解时间和时刻的意义,会计算简单的经过时间,加深学生对24时计时法的认识。 (二)过程与方法 在自主探究计算简单的经过时间过程中,初步掌握一些求简单的经过时间的方法,进一步发展学生的推理能力和解决问题的能力。 (三)情感态度和价值观 体会简单的时间计算在生活中的应用,建立时间观念,体会合理安排时间的重要性,养成珍惜时间的良好好习惯。 二、教学重难点 教学重点:会计算简单的经过时间,加深学生对24时计时法的认识。 教学难点:理解计算经过时间方法的原理。 三、教学准备 课件、钟面。 四、教学过程 (一)创设情境,提出问题 课件出示情境图: 教师:从情境图中,你了解了哪些信息? 学生汇报交流。 教师:根据信息你能提出数学问题吗? 预设:到奶奶家要坐多长时间的火车?

教师:这个问题怎么解决呢?这就是这节课我们要学习的计算简单的经过时间。 (板书:计算简单的经过时间) (二)自主探究,寻找策略 1.学生独立思考,寻找解决问题的办法。 教师:解决这个问题,你有什么好办法吗? 2.小组讨论交流。 教师:和同学说一说你是用什么办法解决问题的。 3.全班汇报。 请各小组派代表向全班汇报。 预设: (1)在钟面上通过拨针的方法,数出到奶奶家要坐9小时的火车。(操作演示) (2)利用普通计时法分段计算。先求出上午坐火车的时间,再加上下午坐火车的时间。即:12-9=3(小时),3+6=9(小时)。 结合学生的汇报教师出示“时间轴”进行演示。 (3)运用24时计时法计算。将下午6时用24时计时法表示,用结束的时刻减开始的时刻,就等于经过的时间。下午6时是18︰00,18-9=9(小时)。 结合学生的汇报教师出示“时间轴”进行演示。

单周期CPU设计

信息科学与工程学院 课程设计报告 课程名称:计算机组成原理与结构题目:单周期CPU逻辑设计年级/专业:XXXXXXXXXXXXXXX X 学生姓名:王侠侠、李怀民 学号:XXXXXXXXXXXXXXXXXXX 指导老师:XXXX 开始时间:2016年9月15日 结束时间:2016年11月15日

摘要 一、设计目的与目标 1.1 设计目的 1.2 设计目标 二、课程设计器材 2.1 硬件平台 2.2 软件平台 三、CPU逻辑设计总体方案 3.1 指令模块 3.2 部件模块 四、模块详细设计 4.1 指令设计模块 4.2 部件设计模块 五、实验数据 5.1 初始数据 5.2 指令数据 六、结论和体会 七、参考文献

本CPU设计实验以Quartus II 9.0为软件设计平台,以Cyclone 采III型号EP3C16F484C6为FPGA实测板。此CPU设计采用模块化设计方案,首先设计指令格式模块,此模块决定CPU各个部件的接口数据容量及数量,再对CPU各个部件独立设计实现,主要涉及的部件有:寄存器组、控制器、存储器、PC计数器、数据选择器、ALU单元以及扩展单元。分部件的设计通过软件平台模拟仿真各部件的功能,在确保各部件功能正确的情况下,将所有部件模块整合在一起实现16位指令的CPU功能。再按照指令格式设计的要求,设计出一套能完整运行的指令,加载到指令存储器中,最终通过在FPGA实测板上实现了加2减1的循环运算效果,若要实现其他效果,也可更改指令存储器或数据存储器的数据而不需要对内部部件进行更改元件。 关键词:CPU设计、16位指令格式、模块化设计、Quartus软件、CPU各部件

微处理器系统与嵌入式系统1—7章最全答案合集

“微处理器系统原理与嵌入式系统设计”第一章习题解答 1.1 什么是程序存储式计算机? 程序存储式计算机指采用存储程序原理工作的计算机。 存储程序原理又称“·诺依曼原理”,其核心思想包括: ●程序由指令组成,并和数据一起存放在存储器中; ●计算机启动后,能自动地按照程序指令的逻辑顺序逐条把指令从存储器中 读出来,自动完成由程序所描述的处理工作。 1.2 通用计算机的几个主要部件是什么? ●主机(CPU、主板、存); ●外设(硬盘/光驱、显示器/显卡、键盘/鼠标、声卡/音箱); 1.3 以集成电路级别而言,计算机系统的三个主要组成部分是什么? 中央处理器、存储器芯片、总线接口芯片 1.4 阐述摩尔定律。 每18个月,芯片的晶体管密度提高一倍,运算性能提高一倍,而价格下降一半。 1.5 讨论:摩尔定律有什么限制,可以使用哪些方式克服这些限制?摩尔定律还会持续多久?在摩尔定律之后电路将如何演化? 摩尔定律不能逾越的四个鸿沟:基本大小的限制、散热、电流泄露、热噪。具体问题如:晶体管体积继续缩小的物理极限,高主频导致的高温…… 解决办法:采用纳米材料、变相材料等取代硅、光学互联、3D、加速器技术、多核…… (为了降低功耗与制造成本,深度集成仍是目前半导体行业努力的方向,但这不可能永无止,因为工艺再先进也不可能将半导体做的比原子更小。用作绝缘材料的二氧化硅,已逼近极限,如继续缩小将导致漏电、散热等物理瓶颈,数量集成趋势终有终结的一天。一旦芯片上线条宽度达到纳米数量级时,相当于只有几个分子的大小,这种情况下材料的物理、化学性能将发生质的变化,致使采用现行工艺的半导体器件不能正常工作,摩尔定律也就要走到它的尽头了。业界专家预计,芯片性能的增长速度将在今后几年趋缓,一般认为摩尔定律能再适用10年左右,其制约的因素一是技术,二是经济。)

《简单的周期》教学设计

《简单的周期》教学设计 昆山市玉山镇司徒街小学陈静 教学内容:苏教版教材四年级上册第30-31页。 教材分析: 本次探索规律,把贴近学生的生活和认知水平的简单周期现象作为研究对象,着重观察若干个物体有规律的排列,发现并描述排列规律,还要根据周期规律对后续排列作出判断。通过活动,激发学生探索规律的热情,提高发现规律的能力,培养遵循和利用规律的态度。 学情分析: 学习本课内容之前,学生已经掌握了有余数除法,在计算方面为本单元的学习打下了坚实的基础。在低年级的学习中,学生学习过间隔排列的规律,多次经历寻找数或图形简单排列规律的过程。所以,学生积累了一些探索规律的经验,初步具备了探索简单数学规律的能力。 教学目标: (1)知识与技能:使学生结合具体情境,探索并发现简单周期现象中事物的排列规律,能根据规律确定某个序号代表的是什么物体或图形。 (2)过程与方法:使学生经历自主探索、合作交流的过程,体会画图、计算等解决问题的不同策略,积累数学活动经验,感悟基本数学思想,感受数学思考的条理性。 (3)情感态度与价值观:使学生在探索与发现规律的过程中,体会数学与日常生活的联系,增强学好数学的自信心。 教学重点:经历探索和发现规律的过程,体会画图、列举、计算等多样化的解决问题的策略,掌握用除法的方法解决问题。 教学难点:根据余数的情况判断具体的物体或图形。 教学准备:学生用作业纸,多媒体课件。 教学过程: 一、游戏激趣,感知规律。 第一轮记忆力大比拼。 1.谈话引入活动。 同学们,今天老师想跟你先来一个记忆力大比拼的游戏。 把全班同学分为红队和蓝队。 2.蓝队赢了。 提问:采访一下我们红队的同学们,你有什么想说的?

简单微处理器的设计与实现

实验五简单微处理器的设计与实现 一、设计任务和技术指标 运用在“数字电路与逻辑设计”课程中学过的基本理论知识,设计并用可编程逻辑器件实现一个简单的八位操作数的微处理器。完成微处理器硬件系统设计和指令系统设计两方面的任务,使微处理器能够实现两个不带符号位的八位二进制数原码相乘等功能。 二、简单微处理器介绍 1.微处理器硬件系统及原理 微处理器硬件系统包括运算器、控制器、存储器以及其它必要的逻辑部件。图4-1是一个微处理器的参考原理框图,具体说明如下: (1)程序计数器PC:存放将要执行指令的地址。 (2)指令存储器IROM,存放程序指令,每条指令的长度为W,指令的个数为L。 (3)指令寄存器IR:存放被执行指令的操作码,直接供运算控制器。 (4)控制器CON:产生一系列时序逻辑信号,控制微处理器各个部件协调一致地完成每条指令相应的操作,实现两个操作数的运算。 (5)通用寄存器堆R0-R7:用来临时存放运算过程中读出和写入的数据。 (6)缓冲寄存器RS和RD:用于存放ALU的两个输入操作数。 (7)运算器ALU和进位寄存器C:运算器ALU对两个操作数RS和RD进行加、减或逻辑运算处理,在进行加减运算时还接受控制器的进位输入信号CI,ALU的运算结果送给通用寄存 器或特殊寄存器。ALU还根据运算结果设置进位标志C和零标志Z。 (8)运算结果显示送七段数码管显示,用十六进制显示。 输入 输出 图4-1 简单微处理器框图 2.处理器指令系统及功能 处理器的基本指令字长为W位,指令的每一位从高到低用D W、D W-1、…D1、D0表示,有些微处理器的一条指令包括多个指令字长,即每条指令的长度不一样,例如Intel的80386等。本实验为了简化设计,规定所有的指令都是单指令字的指令,即所有指令的长度都是W。基本的指令系统一般包括

微原课程设计

微机原理课程设计 学院机电工程学院 专业自动化 姓名颜秦鑫 学号 指导教师千博董瑞军

第一章绪论 1.1课程设计的意义: 《微机原理与接口技术》是自动化专业的专业基础课,在总课程体系种占有重要的位置。课程设计的目的是使学生更进一步掌握微机原理及应用课程的有关知识,加深对微机应用的理解,以达到巩固课堂教学内容,并进一步加强学生的应用能力和创新能力,是培养学生综合素质,提高动手能力,增强发现问题和解决问题能力的重要部分。 1.2课程设计的目的: 1.掌握8088最小系统的实现方法 2.掌握利用8088最小系统完成存储器的设计及其扩展方法 3.掌握系统设计时的地址空间分配及其译码电路设计 4.掌握利用8088最小系统完成接口设计的方法 5.掌握8255、8253、0809、0832等芯片的使用方法 1.3 课程设计的要求: 1.构成8088最小工作系统 2.分别采用两片6264和2764完成存储器电路的设计 3.采用ADC0809组成8位温度AD变换接口电路 4.采用DAC0832组成8位DA变换接口电路驱动直流电机 5.采用8255和8253组成步进电机的控制电路 第二章设计思路说明 2.1 设计任务分析: 系统要求采用8088工作于最小方式下,在这种方式中,8088CPU引脚直接产生存储器或I/O口读写的所有控制信号。首先利用8284提供时钟信号,同时也提供了复位信号和准备好信号。然后利用3片74LS373锁存器芯片形成20位地址总线,利用1片74LS245双向数据收发器芯片形成8位数据总线,至此形成

总线逻辑电路和最小系统工作电路。存储器设计分别采用2片2764和2片6264进行扩展,分别形成16KB的ROM和16KB的RAM。温度变换电路采用AD590采集温度信号送入0809的通道进行AD转换,并用8259对其产生的EOC信号产生中断控制。将系统数据送入0832进行DA转换,完成控制直流电机。系统的定时计数器8253完成对步进电机定时计数,采用8255并行接口控制步进电机。以上各模块译码电路均由74LS138产生。 2.2 系统各模块地址空间分配: 存储器模块: ROM:FC000H—FFFFFH RAM:00000H—03FFFH 温度变换模块: ADC0809:000H—007H 8259:008H—009H 直流电机驱动模块: DAC0832:00AH 步进电机控制模块: 8253:00CH—00FH 8255:010H—013H 键盘显示模块: 8255:014H—017H 第三章电路总体构成 3.1 最小系统设计: 3.1.1 8088芯片介绍 1.8088芯片简介:

公开课简单的周期教学设计

简单的周期 教学内容:苏教版四年级上册数学第30、31页。 教学目标 1、使学生结合具体情境,探索并发现简单周期现象中的排列规律,能根据规律确定某个序号所代表的是什么物体或图形。 2、使学生主动经历自主探索、合作交流的过程,体会画图、列举、计算等解决问题的不同策略以及方法逐步优化的过程。 3、使学生在探索规律的过程中体会数学与日常生活的联系,获得成功的体验。 教学重点、难点:让学生探索和发现规律的过程,体会画图、列举、计算等多样化的解决问题的不同策略以及方法逐步优化的过程 教学准备:多媒体 教学过程: 一、创设情境,感知规律 1、国庆节公园、街道到处张灯结彩,彩旗招展。增添了节日的喜庆气氛。(出示教材场景图)师:这是其中的一个美丽场景,我们一起看这一幅图,从图中,你都看到些什么?(盆花、彩灯、彩旗),说一说你都发现了什么?(彩灯、彩旗、盆花的排列都是有规律的。)说一说排列的规律。师:像这样周而复始、循环出现的规律在我们的生活中随处可见,这节课,我们就一起来研究排列规律。(板书课题) 2、我们班是男生优秀还是女生优秀?(让学生们自己说说)为什么呢?到底谁更优秀我们课堂上比试比试,好不好? 3、今天我们比什么呢?请看大屏幕,比一比咱们的记忆力!王老师这里有两组数据都是12位数,两组时间都为五秒钟,男生看第一行的,女生看第二行的。如果男生记住了就算男生赢,如果女生记住了就算女生赢好不好?准备好了没有?出示:A:2536496481,B:123412341234。 4、问:这个比赛结果能否说明女生的记忆力比较好?为什么? 不公平在哪?男生简单(简单到什么地方?) 过渡:孩子们看来有规律真好,不但有利于我们记忆还能帮我们推算出后面的情况。今天王老师和同学一起到课堂中去找规律。 二、自主探究,发现规律。 1、首先我们看盆花(点击出示盆花小图) 初步提问:在图中,我们能看到几盆花?如果继续照这样摆下去,从左起第9盆花是什么颜色的?第10盆花是什么颜色的? 2、深度提问:照这样摆下去,左起第19盆花是什么颜色的花?能解决这个问题吗?(生先猜一猜)这仅仅是我们的猜测,猜测就一定正确吗?还得验证?还得有理由?能把你的解决过程画在或写在纸上吗?

16位cpu设计课程设计

石家庄经济学院 信息工程学院 计算机组成原理课程设计报告 题目16位模型计算机的设计 姓名 学号 班号 指导老师 成绩 2011年1月

目录 1. 课程设计目的 (3) 2. 开发工具选择 (3) 3. 方案选择 (3) 4.指令系统设计 (4) 5. 模型机框图设计 (4) 6. 指令流程图 (5) 7.微指令格式(微程序控制器)设计 (6) 8.微程序(微程序控制器)设计 (7) 9. VHDL程序代码 (9) 10. 调试仿真 (16) 11. 课程设计回顾总结 (18) 参考文献 (18)

1. 课程设计目的 (1)、计算机组成原理课程设计的主要任务是让学生通过动脑和动手解决计算机设计中的实际问题。综合运用所学计算机组成原理知识,在掌握部件单元电路实验的基础上,进一步将其组成系统构造一台基本的模型计算机,掌握整机概念,并设计机器指令系统,编写程序,在所设计的模型计算机上调试运行。 (2)、通过一台模型机的设计过程,明确计算机的控制原理与控制过程,巩固和灵活应用所学的理论知识,掌握计算机组成的一般设计方法,提高学生设计能力和实践操作技能,为从事计算机研制与设计打下基础。 2. 开发工具选择 使用QUARTUS 5.0软件编写并调试VHDL程序,然后做功能仿真。 3. 方案选择 本次实习的内容为16位模型计算机的设计,单总线,采用微程序控制方式,有四种寻址方式:直接寻址、寄存器寻址、寄存器间接寻址和变址寻址。 微程序控制方式由微指令译码产生。微程序中一条机器指令往往分成几步执行,将每一步操作所需的若干为命令以代码编写在一条微指令中,若干条微指令组成一段微程序,对应一条机器指令。然后根据系统的需要,事先编制各段微程序,将它存入一个专用寄存器(即控制存储器)中。 微程序执行过程:如图1所示,为微程序控制基本框: (1)从控存中逐条取出“取指令操作”,执行取指令公共操作。 (2)根据指令的操作码,经过微地址形成部件,得到这条指令的入口地址,并送入微地址寄存器中。 (3)从控存中逐条的取出对应的微指令并执行。 (4)执行完一条机器指令对应的微程序后又回到取指微程序的入口地址,继续第(1)步,以完成取下一条机器指令的公共操作。 IR PSW PC 微地址 形成电路 微地址寄 存器μAR 微指令寄存器μIR 译码器 控制存储器CM 指令代码 运行状态 …… 微命令字段微地址字段 …… 微命令序列 图1 微程序控制基本框

《简单的周期》教案

苏教版四年级数学上册 《简单的周期》教案 教学目标 1、使学生结合具体情境,探索并发现简单周期现象中的排列规律,能根据规律确定某个序号所代表的是什么物体或图形。 2、使学生主动经历自主探索、合作交流的过程,体会画图、列举、计算等解决问题的不同策略以及方法逐步优化的过程。 3、使学生在探索规律的过程中体会数学与日常生活的联系,获得成功的体验。 教学重点、难点 重点:让学生探索和发现规律的过程,体会画图、列举、计算等多样化的解决问题的不同策略以及方法逐步优化的过程。 难点:多样化的解决问题的不同策略。 教具准备 多媒体课件 教学过程 一、创设情境,感知规律 游戏“男生女生数字记忆大PK比赛”引出课题找规律。 二、自主探究,发现规律,体会多样的解题策略。 国庆节公园、街道到处张灯结彩,彩旗招展。增添了节日的喜庆气氛。(出示教材场景图)师:这是其中的一个美丽场景, 我们一起看这一幅图,从图中,你都看到些什么?(盆花、彩灯、彩旗),说一说有什么共同的特点?(彩灯、彩旗、盆花的排列都是有规律的,都是几个一组。) 1、由近及远,首先我们看盆花(点击出示盆花小图)初步提问:在图中,我们能看到几盆花?如果继续照这样摆下去,从左起第9 盆花是什么颜色的?第10 盆花是什么颜色的? 2、深度提问:照这样摆下去,左起第19 盆花是什么颜色的花?能解决这个问题吗?

(生先猜一猜)这仅仅是我们的猜测,猜测就一定正确吗?还得验证?还得有理由?能把你的解决过程画在或写在纸上吗?①提供足够时空,先让学生独立思考,用自己喜欢的方法试着解决;②待大多数学生形成初步的认识之后,再组织学生在小组里交流。(教师注意每个小组交流情况,发现不同的策略,帮助有困难的学生,作适当调整。) 3、全班交流。引导:同学们已经在小组里交流了自己的想法,谁愿意把你们小组的意见介绍给全班同学?(学生站在位置上口头说, 教师适时展示、写算式、追问)学生小组可能提出如下的想法。(随生适当板书:画图推想计算) (1)画图的策略。教师提问:你一共画了多少个圆? (2)计算的策略:把每3 盆花看作一组,19÷3=6 (组)……1(盆),第19 盆是蓝花。 针对算式,教师提问:能说说3 是从哪里来的?6 什么意思?1 呢?学生一边说,教师一边结合前面学生画的图解释. 师述:像这样,每3 盆花看作一组, 把19÷ 3=6……1,那就有这样的6 组。注意6 的单位是“组”,而不是“盆”?余下的1 盆指得是哪一盆?为什么? 强调:第19 盆花的颜色和每组中的第几盆花相同?要确定左起第19盆花是什 么颜色,只要看什么就可以了? 三、独立尝试,逐步优化解题策略 谈话:下面我们用自己喜欢的方法来解决彩灯中的数学问题。 1. 尝试优化。 (1)课件出示:照上面那样排下去,从左边起第20盏彩灯是什么颜色?学生独立完成,师巡视。 (2)提问:谁来汇报一下你们是用什么方法做的?怎么想的?有没有用画图或分成奇偶数的方法做呢?为什么你们不用这种方法呢?学生回答得出: 画图法 太繁、分类方法不适用。 (3)抢答:第23盏彩灯呢?学生抢答,提问: 你是怎么算的? 学生口述,师板书:23÷4=5(组)……3(盏) 提问:没有余数怎么判断左起第20盏灯是什么颜色呢?

相关文档
最新文档