电子系统综合设计报告

合集下载

电子技术综合课程设计实习报告

电子技术综合课程设计实习报告

电子技术综合课程设计实习报告一、实习目的与要求本次电子技术综合课程设计实习旨在让我们更好地将所学的理论知识与实际操作相结合,提高我们的实践能力和创新能力。

实习要求我们设计一个具有实际应用价值的电子系统,要求系统具有稳定性、可靠性、易于操作等特点。

二、实习内容与过程1. 选题与方案确定:在实习开始阶段,我们首先进行了选题。

在教师的指导下,我们选择了设计一个数字频率计作为实习项目。

接下来,我们查阅了相关资料,分析了数字频率计的工作原理,并确定了设计方案。

2. 电路设计与仿真:根据设计方案,我们开始了电路设计。

首先,我们设计了数字频率计的原理图,包括时钟电路、计数电路、显示电路等。

然后,利用Multisim软件对电路进行了仿真,验证了电路的功能和稳定性。

3. 器件选型与采购:在电路设计过程中,我们需要对所需的电子元件进行选型。

在教师的建议下,我们选择了性能稳定、成本合理的元件。

随后,我们进行了元件的采购。

4. 电路调试与优化:在元件采购回来后,我们开始了电路的搭建和调试。

在调试过程中,我们发现了一些问题,如信号干扰、计数误差等。

针对这些问题,我们进行了电路的优化,提高了系统的性能。

5. 系统测试与总结:在电路调试完成后,我们对数字频率计进行了系统测试,验证了其功能和性能指标。

最后,我们对整个实习过程进行了总结,分析了收获和不足之处。

三、实习成果与分析通过本次实习,我们成功设计并实现了一个数字频率计,该频率计具有以下特点:1. 功能完善:数字频率计能够准确测量输入信号的频率,并显示频率值。

2. 稳定性高:通过电路的优化,我们降低了信号干扰,提高了系统的稳定性。

3. 易于操作:数字频率计的操作界面简单直观,便于用户使用。

4. 性能指标满足要求:数字频率计的测量精度、计数范围等性能指标均满足实习要求。

通过本次实习,我们不仅提高了自己的实践能力,还培养了团队合作精神。

在实习过程中,我们学会了如何查阅资料、分析问题、解决问题。

电子系统设计实验报告

电子系统设计实验报告

基于单片机和FPGA的等精度频率计一、设计任务工作频率通信系统极为重要的参数,频率测量是通信系统基本的参数测试之一。

本设计的主要任务是使用单片机与 EDA 技术设计制作一个简易的等精度频率测试仪,可对输入周期信号的频率进行测量、显示。

被测信号的频率范围和测试的精度要求见相应的设计任务书。

二、设计框图图 1 硬件系统原理框图等精度频率计的主系统硬件框图如图1 示,主要由以下几部分组成:(1)信号整形电路:用于对于放大信号进行放大和整流,以作为PLD 器件的输入信号(2)测频电路:是测频的核心电路模块,由FPGA 或CPLD 器件组成。

(3)单片机电路模块:用于控制FPGA 的测频操作和读取测频数据,并做出相应处理。

(4)数码显示模块:用8 个数码管显示测试结果,考虑到提高单片机I/O 口的利用率,降低编程复杂性,提高单片机的计算速度以及降低数码显示器对主系统的干扰,可以采用串行静态显示方式。

三、测频原理分析3.1 等精度频率测试的原理频率是一个基本的物理量,其它的物理量可以转换为频率进行测量。

测试频率的基本方法包括直接测频和测周法。

其中直接测频法是产生一个标准宽度(例如1s)的时基信号,然后在这个信号时间范围内打开闸门对被测频率信号进行计数。

此方法的弱点之一是高精度的标准时基信号不容易获得;其二,这种方法对于高频信号的测量精度比较有保证,但是对于低频信号由于计数周期有限测试精度较低。

测周法是用被测信号作为闸门信号、对标准脉冲信号进行计数,显然这种方法适合测量低频信号的频率。

等精度测频法的核心思想是用两个计数器分别对标准和被测脉冲进行计数,计数的时间严格同步于被测脉冲。

这种方法的最大优点是测试的精度和被测信号的频率无关,因而可以做到等精度测量。

其测试原理如图2 示。

预置闸门信号是测试命令,即测频的使能信号,该信号为高电平的期间进行测频。

但是当预置闸门信号为高电平时,测频并不是立即开始,而是要等到被测信号的上升沿到来以后,实际闸门信号跳为高电平,测频才真正开始。

电子系统设计创新与实践实习报告

电子系统设计创新与实践实习报告

电子系统设计创新与实践实习报告——数控直流电流源制作学院:信息科学与工程学院班级:通信工程09-1姓名:学号:指导老师:摘要此次实习我的选题为数控直流源的设计与制作,我们小组在参考传统电流源以及普通数控电流源的基础上,在充分考虑性价比的同时提高数控电流源的准确性,再通过软件控制来实现数控直流源的工作。

本系统主要由直流电流源和单片机控制系统两部分组成。

直流电流源采用连续调整型恒流源,电源电路分为四个模块电路:比较放大器、MOS型调整管、采样电阻和负载。

根据题目要求,我们采用的是8位A/D转换芯片ADC0832,8位D/A转换芯片DAC0832,通过AT89C52单片机控制系统进行校正,同时它还负责键盘输入和LCD显示功能,人机界面友好。

关键字:直流源、AT89C52、DAC0832、ADC0832、LCD1602一、数控电流源简介所谓恒流源就是输出电流极其稳定不随负载变化。

为了保证电流不变,输出电压必须始终符合V=I*R。

即负载需要多大电压,恒流源就必须输出多大电压,“无条件”予以满足。

负反馈的作用就是“使之稳定”。

通过时刻“检查”控制对象的状态,并进行调整。

发现小了,就设法使之增大,发现大了,就设法使之减小。

形象地说,电流负反馈电路则是采样输出电流,计算误差,据此调节自身状态,使输出电流稳定,因而,输出特性接近恒流源。

随着电子技术的不断进步,对电子仪器的要求也不断提高。

电源作为电路的动力源泉更是扮演着越来越重要的角色,然而传统的电流源不论是在控制精度还是输出特性上都无法满足要求。

再者单片机技术的不断发展和D/A,A/D技术的不断成熟使得数控电源成为可能,数控电流源不论是在控制精度还是在可操作性上都有传统电源无法比拟的优势。

二、设计任务及要求1.设计任务: 设计并制作数控直流电流源。

输入交流36V,50HZ;输出直流电压<=10V。

其原理示意图如下:2.设计要求1)输出电流范围:200mA~2000mA;2)可设置并显示输出电流给定值,要求输出电流与给定值偏差的绝对值≤给定值的1%+10 mA;3)具有“+”、“-”步进调整功能,步进≤10mA;4)改变负载电阻,输出电压在10V以内变化时,要求输出电流变化的绝对值≤输出电流值的1%+10 mA;5)纹波电流≤2mA;三、数控电流源硬件系统整体设计AT89C52单片机、A/D、D/A芯片,键盘,LCD,显示器构成系统的控制电路;比较放大器、负载、调整管及采样单元构成恒流源电路。

电子系统设计实验报告

电子系统设计实验报告

实验报告格式要求二.实验目的、任务和要求:本实验要求设计SCI串行接口芯片, 其功能包括串行及并行数据的接收和互相转换。

三.实验系统结构设计分析1.模块划分思想和方法:该芯片需根据功能分为串并转换电路和并串转换电路两部分。

实现串并转换的关键器件就是移位寄存器, 其功能可以使串行输入的数据先寄存到一个位矢量中, 等到一组数据全部输入完毕后再一起处理, 并行输出。

而实现并串转换的关键器件是锁存器, 它可以将并行输入的数据先锁存起来, 再一位一位的转化成串行数据。

计数器在这一芯片中也起到了重要作用, 因为计数器可以产生时间脉冲的分频, 用于配合时间脉冲控制各器件的工作。

2.各模块引脚定义和作用.串并电路:输入: rxd读入数据, clk系统时钟, reset计数器复位端, rd读入控制四进制计数器:C4四分频十进制计数器:Count_10计数分量, C10四十分频(c4的十分频)移位寄存器:Read读入数据, d0~d9并行输出(d0起始端, d1~d8数据端, d9校验位(本实验中不起作用))锁存器:K0~k7数据位状态发生器:RdST读入状态(0为读入, 1为寄存器已满)四.实验代码设计以及分析:1.给出模块层次图;2.按模块完成的代码及注释.USE IEEE.STD_LOGIC_1164.ALL;ENTITY SCI ISPORT(cs,rxd,clk,SCIrd,reset,SCIwr,in7,in6,in5,in4,in3,in2,in1,in0: IN STD_LOGIC;rdFULL,tdEMPTY,c4:buffer std_logic;e7,e6,e5,e4,e3,e2,e1,e0,wxd:OUT STD_LOGIC);END SCI;ARCHITECTURE WORK OF SCI ISSIGNAL wr,rd,read,c10,d9,d8,d7,d6,d5,d4,d3,d2,d1,d0,k7,k6,k5,k4,k3,k2,k1,k0,mid: STD_ULOGIC;SIGNAL wri : STD_LOGIC_vector(7 downto 0);SIGNAL count_10 ,counter_8:std_logic_vector(3 downto 0);BEGINPROCESS(cs)BEGINrd<=cs OR SCIrd;wr<=cs OR SCIwr;END PROCESS;//注释: 片选输入, cs=1时, 串入并出为“写”, 并入串出为“读”;cs=0时, 串入并出为“读”, 并入串出为“写”;PROCESS(rxd)BEGINread<=rxd;END PROCESS;PROCESS(clk)VARIABLE count_4 : STD_LOGIC_VECTOR(1 DOWNTO 0);BEGINIF(clk'EVENT AND clk='1')THENIF(count_4="00")THENcount_4 := "01";c4 <= '1';ELSIF(count_4="01")THENcount_4 := "11";c4 <= '1';ELSIF(count_4="11")THENcount_4 := "10";c4 <= '0';ELSIF(count_4="10")THENcount_4 := "00";c4 <= '0';END IF;END IF;END PROCESS;PROCESS(c4)BEGINIF(c4'EVENT AND c4='1')THENIF(rd='1')THENd0<=read;d1<=d0;d2<=d1;d3<=d2;d4<=d3;d5<=d4;d6<=d5;d7<=d6;d8<=d7;d9<=d8;END IF;END IF;END PROCESS;PROCESS(c4,reset,rd)BEGINIF(reset='0' OR rd='0')THEN count_10<="0000";c10 <= '0';ELSIF(c4'EVENT AND c4='1')THENIF(count_10="0000" AND rxd='1' AND rdFULL='0')THEN count_10 <= "0001";c10 <= '0';ELSIF(count_10="0001")THENcount_10 <= "0010";c10 <= '0';ELSIF(count_10="0010")THENcount_10 <= "0011";c10 <= '0';ELSIF(count_10="0011")THENcount_10 <= "0100";c10 <= '0';ELSIF(count_10="0100")THENcount_10 <= "0101";c10 <= '0';ELSIF(count_10="0101")THENcount_10 <= "0110";c10 <= '0';ELSIF(count_10="0110")THENcount_10 <= "0111";c10 <= '0';ELSIF(count_10="0111")THENcount_10 <= "1000";c10 <= '0';ELSIF(count_10="1000")THENcount_10 <= "1001";c10 <= '0';ELSIF(count_10="1001")THENcount_10 <= "1010";c10 <= '0';ELSIF(count_10="1010")THENcount_10 <= "1011";c10 <= '1'; END IF;END IF;END PROCESS;PROCESS(c10)BEGINIF(c10'EVENT AND c10='1')THENk7<=d8;k6<=d7;k5<=d6;k4<=d5;k3<=d4;k2<=d3;k1<=d2;k0<=d1;END IF;END PROCESS;PROCESS(rd)BEGINIF(rd='0')THENe7<=k7;e6<=k6;e5<=k5;e4<=k4;e3<=k3;e2<=k2;e1<=k1;e0<=k0;END IF;END PROCESS;PROCESS(rd,c10)BEGINIF(rd='0')THEN rdFULL<='0';ELSIF(c10='1')THENrdFULL<='1';ELSE rdFULL<='0';END IF;END PROCESS;process(wr)beginif(wr='0')thenwri(0)<=in0;wri(1)<=in1;wri(2)<=in2;wri(3)<=in3;wri(4)<=in4;wri(5)<=in5;wri(6)<=in6;wri(7)<=in7;end if;end process;process(c4)beginif(c4'event and c4='1')thenif(wr='0')thencounter_8<="0000";elsif(wr='1' and counter_8="0000")then counter_8<="0001"; elsif(counter_8="0001")then counter_8<="0010";elsif(counter_8="0010")then counter_8<="0011";elsif(counter_8="0011")then counter_8<="0100";elsif(counter_8="0100")then counter_8<="0101"; elsif(counter_8="0101")then counter_8<="0110";elsif(counter_8="0110")then counter_8<="0111";elsif(counter_8="0111")then counter_8<="1000";elsif(counter_8="1000")then counter_8<="1001";end if;end if;end process;process(wr,counter_8)beginif(wr='1' and counter_8="1001")thenmid<='1';tdEMPTY<='1';elsif(wr='0')thenmid<='0';tdEMPTY<='0';end if;end process;process(counter_8)beginif(wr='0' or mid='1')thenwxd<='0';elsif(wr='1' and mid='0')thenif(counter_8="0001")thenwxd<=wri(0);elsif(counter_8="0010")thenwxd<=wri(1);elsif(counter_8="0011")thenwxd<=wri(2);elsif(counter_8="0100")thenwxd<=wri(3);elsif(counter_8="0101")thenwxd<=wri(4);elsif(counter_8="0110")thenwxd<=wri(5);elsif(counter_8="0111")thenwxd<=wri(6);elsif(counter_8="1000" )thenwxd<=wri(7);end if;end if;end process;END WORK;五.仿真图(输入输出波形)以及分析:六.实验问题分析和经验总结:在该实验的设计中, 我们发现时序逻辑中最重要的部分就是时间信号对各进程的控制, 因为为了保持各进程在时间上的同步性和正确性, 需要用一个或几个相关联的时间信号来控制各进程。

电子工程设计报告模板

电子工程设计报告模板

电子工程设计报告模板
一、需求背景
(本节描述需求来源、需求背景和开发背景)
二、问题定义
2.1 问题描述
(本节描述中需求要达到的目标和功能性需求)
2.2 非功能性需求
(本节描述对于用户体验、性能、安全等非功能性要求)
三、系统设计
3.1 系统架构
(本节描述系统的模块划分,及各模块的功能和接口定义)
3.2 数据流设计
(本节描述系统的数据流转方向和取向,包括输入源和输出结果)3.3 技术选择
(本节描述项目中使用的技术和工具)
四、代码实现
4.1 系统结构
(本节描述代码的结构和模块间关系)
4.2 算法与处理流程
(本节描述数据处理的算法实现和具体的处理流程)
五、测试和结果
5.1 功能测试
(本节描述对系统各功能模块进行测试的结果和测试报告)
5.2 性能测试
(本节描述对系统的性能进行测试的结果和测试报告)
六、总结和展望
(本节展示对本项目的总结和未来完善的需求方向和改进方案)。

电子系统综合实训报告

电子系统综合实训报告

一、实训背景随着科技的飞速发展,电子技术在各个领域的应用日益广泛。

为了提高学生的实践能力和工程素养,我校物理与电子工程学院特举办电子系统综合实训活动。

本次实训旨在通过模拟真实企业级项目,让学生在掌握理论知识的基础上,锻炼实际操作技能,提升职业素质。

二、实训目的1. 使学生掌握电子系统设计的基本流程和方法。

2. 培养学生运用所学知识解决实际问题的能力。

3. 提高学生的团队协作和沟通能力。

4. 增强学生对电子行业发展趋势的认识。

三、实训内容本次实训主要包括以下内容:1. 项目介绍:邀请企业工程师介绍两个项目:基于虚拟仿真软件的数字农业数字孪生平台和基于STM32的四足机器人项目。

2. 理论学习:学习单片机原理、软件编程方法、电子行业基本工具等知识。

3. 项目实施:以项目小组形式,模拟企业一线研发项目,进行任务驱动、项目化教学。

4. 作品展示与答辩:企业工程师和校内指导教师组成专家组,对学生的作品进行现场答辩和点评。

四、实训过程1. 项目分组:将144名学生分为若干个项目小组,每个小组由5-6人组成。

2. 项目讨论:各小组根据项目要求,进行项目讨论,明确项目目标、任务分工、时间安排等。

3. 理论学习与实践操作:在导师的指导下,学习相关理论知识,并利用仿真软件和实际硬件进行实践操作。

4. 项目实施:各小组按照项目要求,完成项目设计和制作。

5. 作品展示与答辩:各小组向专家组展示作品,并进行现场答辩。

五、实训成果1. 项目成果:各小组成功完成了基于虚拟仿真软件的数字农业数字孪生平台和基于STM32的四足机器人项目。

2. 技能提升:学生在实训过程中,掌握了单片机原理、软件编程方法、电子行业基本工具等知识,提高了实际操作技能。

3. 团队协作与沟通:学生在实训过程中,学会了与他人合作,提高了团队协作和沟通能力。

六、实训总结1. 实训效果显著:本次实训活动取得了圆满成功,达到了预期目标。

2. 学生受益匪浅:学生在实训过程中,不仅掌握了理论知识,还提高了实际操作技能,为今后的学习和工作打下了坚实基础。

电子商务系统分析与设计报告

电子商务系统分析与设计报告

电子商务系统分析与设计报告
目录
一、课程设计的总体规划 (1)
二、绪论 (1)
2.1、开发背景 (1)
2.2、现状分析 (2)
三、系统分析 (3)
3.1、开发过程分析 (4)
3.2、系统功能结构 (4)
3.3、手机销售网站系统的前台功能结构图 (6)
3.4、手机销售网站系统的后台功能结构
图 (6)
3.5、手机销售网站系统的业务流程分析 (7)
四、网站架设 (10)
五、数据库设计 (10)
5.1、系统E-R 图 (10)
5.2、数据表结构 (11)
六、前台主要功能模块详细设计 (13)
6.1、登陆页面 (13)
6.2、注册页面 (14)
6.3、本站简介页
面 (14)
6.4、产品列表页面 (14)
6.5、商品搜索页面 (14)
6.6、顾客留言页面 (14)
6.7、购物车页面 (14)
七、后台主要功能模块详细设计 (14)
7.1、后台登陆页面 (14)
7.2、商品管理页面 (14)
7.3、订单管理页面…………………………………………………………………………………………
(14)
7.4、会员管理页面 (14)
7.5、促销管理页面 (15)
八、系统运行与维护 (15)
九、课程设计总结 (16)。

电子综合课题研究报告

电子综合课题研究报告

电子综合课题研究报告一、引言随着信息技术的飞速发展,电子技术已成为现代社会的重要支柱。

电子产品的广泛应用极大地改变了人们的生活方式,提升了社会生产效率。

然而,电子领域的研究仍存在诸多问题和挑战,特别是在电子综合课题方面。

本研究旨在深入探讨电子综合课题的关键技术,以期为电子行业的发展提供理论支持和实践指导。

本研究背景源于当前电子技术在实际应用中面临的诸多问题,如能耗、性能瓶颈、系统集成等。

这些问题在一定程度上限制了电子技术的进一步发展,因此,开展电子综合课题研究具有重要的现实意义。

本研究提出以下问题:如何优化电子系统集成,提高电子设备的性能与能效?如何解决电子系统在复杂环境下的稳定性与可靠性问题?为回答这些问题,本研究设定以下目的:分析电子综合课题的关键技术,探讨现有技术的优缺点,提出改进措施,并通过实验验证所提方法的有效性。

本研究假设在充分了解电子综合课题的基础上,通过优化设计、改进算法及系统集成,可以显著提升电子设备的性能与能效。

研究范围与限制方面,本报告主要关注电子综合课题中的以下几个方面:1)电子系统集成;2)电子设备性能优化;3)能效提升;4)稳定性与可靠性。

考虑到研究深度和篇幅,本报告未涉及电子领域的其他细分课题。

本报告将系统、详细地呈现研究过程、发现、分析及结论,为电子行业的发展提供有益的参考。

以下是本报告的简要概述:首先,介绍电子综合课题的背景与意义;其次,分析现有技术的优缺点;接着,提出研究方法与实验方案;最后,总结研究成果,并提出未来研究方向。

二、文献综述电子综合课题研究吸引了众多学者的关注,已有大量研究成果发表。

在理论框架方面,研究者们从电子系统集成、性能优化、能效提升等多个角度构建了丰富的理论体系。

国内外学者的研究表明,通过优化电子系统集成,可以显著提高电子设备的性能与能效。

其中,一些研究关注硬件层面的集成,如集成电路设计、封装技术等;另一些研究则侧重于软件层面的集成,如嵌入式系统、操作系统等。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

电子系统综合设计报告姓名:学号:专业:日期:2011-4-13南京理工大学紫金学院电光系摘要本次课程设计目的是设计一个简易温度控制仪,可以在四联数码管上显示测得的温度。

主要分四部份电路:OP07放大电路,AD转换电路,单片机部分电路,数码管显示电路。

设计文氏电桥电路,得到温度与电压的关系,通过控制电阻值改变温度。

利用单片机将现在温度与预设温度进行比较,将比较结果在LED数码管上显示,同时实现现在温度与预设温度之间的切换。

关键词放大电路转换电路控制电路显示目录1 引言 (4)1.1 系统设计 (4)1.1.1 设计思路 (4)1.1.2 总体方案设计 (4)2 单元模块设计 (5)2.1 各单元模块功能介绍及电路设计 (5)2.1.1 温度传感器电路的设计 (5)2.1.2 信号调理电路的设计 (5)2.1.3 A/D采集电路的设计 (5)2.1.4 单片机电路 (6)2.1.5 键盘及显示电路的设计 (6)2.1.6 输出控制电路的设计 (6)2.2元器件的选择 (6)2.3特殊器件的介绍 (7)2.3.1 OP07A (7)2.3.2 ADC0809 (7)2.3.3 ULN2003 (9)2.3.4 四联数码管(共阴) (9)2.4各单元模块的联接 (10)3.1开发工具及设计平台 (11)3.1.1 Proteus特点 (11)3.1.2 Keil特点 (11)3.1.3 部分按键 (12)4 系统测试 (17)5 小结和体会 (20)6 参考文献 (21)1 引言电子系统设计要求注重可行性、性能、可靠性、成本、功耗、使用方便和易维护性等。

总体方案的设计与选择:由技术指标将系统功能分解为:若干子系统,形成若干单元功能模块。

单元电路的设计与选择:尽量采用熟悉的电路,注重开发利用新电路、新器件。

要求电路简单,工作可靠,经济实用。

1.1 系统设计1.1.1 设计思路本次实验基于P89L51RD2FN的温控仪设计采用Pt100温度传感器。

1.1.2 总体方案设计设计要求1.采用Pt100温度传感器,测温范围-20℃ --100℃;2.系统可设定温度值;3.设定温度值与测量温度值可实时显示;4.控温精度:±0.5℃。

2 单元模块设计2.1 各单元模块功能介绍及电路设计2.1.1 温度传感器电路的设计实现温度T和电阻R的对应关系。

电桥中R1=R2=R3=200Ω=R,R4为温度传感器,温度变化,导致电桥的一个桥臂上的电阻也就是R4的阻值变化。

2.1.2 信号调理电路的设计实现将温度T 和电阻R的对应关系转化为温度T 和电压V的对应关系。

利用电桥的原理,R4的阻值变化使电桥两点的电位差改变,此两点作为运算放大器的两个输入。

2.1.3 A/D采集电路的设计实现启动、等待、采集数据。

信号调理电路的输出接0809的IN0。

0809的ALE的START连接,单片机的P2.7和WR或非后接0809的START,P2.7和RD或非后接0809的OE。

START脉冲来,A/D转换开始,以EOC作为转换完成的标志使用的是等待方式,所以EOC未连接。

2.1.4单片机电路最小系统。

2.1.5 键盘及显示电路的设计实现键盘数据输入和温度显示。

利用四联数码管显示三位的温度值和一个‘C’代表显示的是温度。

两个键盘按键调整预设温度的高低。

2.1.6 输出控制电路的设计I/O驱动、继电器、指示灯、负载。

测得的温度值高于预设温度,红灯亮,低于则绿灯亮:接两个发光二极管。

2.2元器件的选择1. P89L51RD2FN2. AD08093. OP07A4. MAX2325. 驱动器ULN20036. 四联数码管MT0546AR7. 继电器HRS2H-S-DC5V-N8. 发光二极管(红、绿色)9. 三极管9012(PNP)、9013(NPN)11. 面包板、连接线、插头座12. 周立功单片机实验箱13. 电阻200Ω×3用于电桥,10kΩ×2,20 kΩ×2用于减法器2.3特殊器件的介绍2.3.1 OP07AOP07引脚图OP07A的特点:超低偏移:150μV最大。

低输入偏置电流: 1.8nA 。

低失调电压漂移:0.5μV/℃。

超稳定,时间:2μV/month最大高电源电压范围:±3V至±22V2.3.2 ADC08091.主要特性1)8路8位A/D 转换器,即分辨率8位。

2)具有转换起停控制端。

3)转换时间为100μs。

4)单个+5V电源供。

5)模拟输入电压范围0~+5V,不需零点和满刻度校准。

6)工作温度范围为-40~+85摄氏度。

7)低功耗,约15mW。

2.内部结构ADC0809 是CMOS单片型逐次逼近式A/D转换器,内部结构如图13.22 所示,它由8路模拟开关、地址锁存与译码器、比较器、8位开关树型D/A转换器、逐次逼近,寄存器、三态输出锁存器等其它一些电路组成。

因此,ADC0809可处理8路模拟量输入,且有三态输出能力,既可与各种微处理器相连,也可单独工作。

输入输出与TTL 兼容。

IN0~IN7:8路模拟电压输入端,用与输入被转换的模拟电压。

D0~D7:A/D 转换后的数据输出端,与单片机的P0口相接。

A、B、C:模拟通道地址选择端,A 为低位,C为高位。

3.A/D转换完成数据的输送A/D 转换后得到的是数字量的模拟量,这些数据应传诵给单片机进行处理。

数据串的关键是如何确定A/D转换完成。

因为只有确定数据转换完成后,才进行传送。

为此可采用以下三种方式:定时传送方式对于一种A时子程序。

A/D 转换启动后,就调动这个子程序,延迟时间一到,转换肯定已经完成了。

接着,就可以进行数据传送A/D转换来说,转换时间作为一项技术指标是已知的和固定的。

查询方式A/D 转换芯片表明有转换完成的状态信号,例如ADC0809 的E端,因此可以通过查询方式用软件测试EOC的状态,即可知道转换是否完成,若完成,则接着进行数据传送。

中断方式中断方式ADC0809与8031的中断方式接口电路只需将0809的EOC端经过一非门连接到8031的INTl 端即可。

采用中断方式可大大节省CPU的时间,当转换结束时,EOC发出一个脉冲向单片机提出中断请求,单片机响应中断请求,由外部中断1的中断服务程序读A/D结果,并启动0809的下一次转换,外部中断1 采用边沿触发方式。

2.3.3 ULN2003ULN200A电路具有以下特点:1电流增益高(大于1000);2带负载能力强(输出电流大于500mA);3温度范围宽(-40~85℃);4工作电压高(大于50V)。

2.3.4 四联数码管(共阴)四联数码管引脚图2.4各单元模块的联接共6个模块。

模块1:信号调理电路:电桥+减法器模块2:A/D转换器ADC0809模块3:单片机89C51或P89L51RD2FNP0: AD数据采集;P1:数码管段选信号(a,b,c,d,e,f,g);P2.7:A/D的OE;P3.4 ~P3.5 :指示灯1,指示灯2 ;P2.0~P2.3 :数码管位选信号(1,2,3,4);INT0: 键+;INT1: 键-。

模块4:键盘输入:连接单片机的两个外部中断。

模块5:共阴四联数码管:位选P2.0~P2.3,段选P1。

模块6:控制输出:接两个指示灯。

3 软件设计3.1开发工具及设计平台3.1.1 Proteus特点1.Proteus软件提供数千种元器件和多达30多个元件库。

2.在Proteus软件中,理论上同一种仪器可以在一个电路中随意的调用。

3.除了现实存在的仪器外,Proteus还可以以图形的方式实时地显示线路上变化的信号。

4.虚拟仪器仪表具有理想的参数指标,可减少仪器对测量结果的影响。

5.Proteus提供了比较丰富的测试信号用于电路的测试。

这些测试信号包括模拟信号和数字信号。

3.1.2 Keil特点1.全功能的源代码编辑器;2.器件库用来配置开发工具设置;3.项目管理器用来创建和维护用户的项目;4.集成的MAKE工具可以汇编、编译和连接用户嵌入式应用;5.所有开发工具的设置都是对话框形式的;6.真正的源代码级的对CPU和外围器件的调试器;7.高级GDI(AGDI)接口用来在目标硬件上进行软件调试以及和Monitor-51进行通信。

3.1.3 部分按键设定温度与实际温度间的切换数据的显示Keyscan.h文件Display.h文件流程图3.1.4 C代码编写#include<reg51.h>#include"absacc.h"bit flag;//采样标志unsigned char countor;//定时器定时的循环标志unsigned char g,s,b,i;unsigned char Q=3,p=0;//设置预设温度unsigned char m,n;sbit P34=P3^4;sbit P35=P3^5;sbit P30=P3^0;//为蜂鸣器提供一定频率的方波void DisplaySecond(unsigned char s,b);//数码管显示函数的声明void delay(void);//延时函数的声明float a,result=0,result1=0,T;/*数码管动态显示*/unsigned char Tab[]={0x3F, //"0"0x06, //"1"0x5B, //"2"0x4F, //"3"0x66, //"4"0x6D, //"5"0x7D, //"6"0x07, //"7"0x7F, //"8"0x6F, //"9"0x39, //"C"};/*定时器T0定时*/void t0_ser() interrupt 1 using 1{ TL0=0xF0;TH0=0xD8;P30=!P30;//蜂鸣器产生的方波countor++;if(countor==10) //循环10次达到定时0.1秒{countor=0;flag=1;} //定时时间到,置采样标志为1,进行采样}/*采样函数*/void samp(){unsigned int c;XBYTE[0x7FF8]=0;//进行一个写操作,启动A/D转换delay();a=XBYTE[0x7FF8];//将A/D转换的结果保存为变量aresult=a*5/256;//将A/D 转换结果换算成十进制数if(result>0.524&&result<1.940){T=10.3*result+9.35;}else if(result>1.940&&result<3.720){T=16.99*result-4.68; }else if(result>3.720&&result<4.302){ T=37.60*result-80.86;}//把电压转换为温度c=T;g=c/100;//显示温度的百位s=c/10;//显示温度的十位b=c%10;//温度的个位m=Q*10+p;//预设温度n=s*10+b;//实际温度if(n>m){P35=1;P34=0;}else{ P35=0;P34=1;}//当实际温度大于预设温度时,红灯亮,反之绿灯亮}/*外部中断0,预设温度加一*/void int0_ser() interrupt 0 using 0{delay();if(INT0==0){ p++;if(p==10){Q++;p=0;}}for(i=0;i<50;i++)DisplaySecond(Q,p);}/*外部中断1,预设温度减一*/void int1_ser() interrupt 2 using 2{delay();if(INT1==0){ p--;if(p==0){Q--;p=9;}}for(i=0;i<50;i++)DisplaySecond(Q,p);}void main(){ TMOD=0x01;//定时器工作方式TL0=0xF0;TH0=0xD8;//定时器初值ET0=1;//定时器中断开放EA=1;//总允许TR0=1;//启动定时器T0EX1=1;//外部中断1开放EX0=1;//外部中断0开放PX0=1;//外部中断0优先级置高PX1=1;//外部中断1优先级置高IT0=1;//外部中断0为边沿触发方式IT1=1;//外部中断1为边沿触发方式while(1){ if(flag){flag=0; samp();}//采样标准为1时,调用采样函数进行采样 DisplaySecond(s,b);}}//延时函数void delay(void){unsigned int j;for(j=0;j<100;j++);}//数码管动态显示函数void DisplaySecond(unsigned char s,b){P2=0xf1;//数码管1亮P1=Tab1[g];//显示温度的百位 delay();P2=0xf0;P2=0xf2;//数码管2亮P1=Tab1[s];//显示温度的十位 delay();P2=0xf0;P2=0xf4;//数码管3亮P1=Tab1[b];//显示温度的十位 delay();P2=0xf0;P2=0xf8;//数码管4亮P1=0x39;//显示Cdelay();P2=0xf0;}4 系统测试4.1温度与电阻的关系:4.2温度与电压的关系:4.3温度分段与电压的拟合曲线:(11℃到24℃)4.4温度分段与电压的拟合曲线:(25℃到35℃)4.6温度分段与电压的拟合曲线:(49℃到64℃)5 小结和体会+这次的电子系统综合设计在理论上不仅用到了单片机的知识,还用到了模电的知识。

相关文档
最新文档