基于VHDL的电子表设计

合集下载

数字秒表的设计与实现实验报告

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》姓名: xxx学号:学院:指导老师:xx摘要EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。

文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。

采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。

该设计具有外围电路少、集成度高、可靠性强等优点。

通过数码管驱动电路动态显示计时结果。

给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。

关键词:FPGA, VHDL, EDA, 数字秒表目录第一章引言 (4)第二章设计背景 (5)2.1 方案设计 (5)2.2 系统总体框图 (5)2.3 -FPGA实验板 (5)2.4 系统功能要求 (6)2.5 开发软件 (6)2.5.1 ISE10.1简介 (6)2.5.2 ModelSim简介 (6)2.6 VHDL语言简介 (7)第三章模块设计 (8)3.1 分频器 (8)3.2 计数器 (8)3.3 数据锁存器 (9)3.4 控制器 (9)3.5 扫描控制电路 (10)3.6 按键消抖电路 (11)第四章总体设计 (12)第五章结论 (13)附录 (14)第一章引言数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。

面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。

对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。

对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。

文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

基于VHDL的数字频率计设计

基于VHDL的数字频率计设计

XXXXXXX学院学生毕业设计(论文)报告系别:电子与电气工程学院专业:电子信息工程技术班号:学生姓名:学生学号:设计(论文)题目:基于VHDL的数字频率计设计指导教师:设计地点:XXXXXXX学院起迄日期:20XX.9.1~20XX.10.31毕业设计(论文)任务书专业电子信息工程技术班级姓名一、课题名称:基于VHDL的数字频率计设计二、主要技术指标:1. 频率范围为:1Hz~50MHz。

2. 结果用数码管十进制显示。

3. 输入信号电压幅度为50mV~5V。

三、工作内容和要求:1. 构建大体的设计方案,并了解其内容。

2. 构建出大体的顶层原理设计框图。

3. 对底层的每个电路模块的设计,并通过软件MAX+PLUS2完成程序的编写通过。

4. 对整个原理框图进行编译并通过。

5. 对整个仿真图编译通过。

四、主要参考文献:[1] 陈必群. EDA技术与项目训练[M] ,常州:常州信息职业技术学院,2009年.[2] 王凤英. 基于FPGA的数字频率计设计与仿真[J].科技资讯,,2008,15(8):1—10[3] 谭会生,张昌凡.EDA技术及应用.西安[M]:电子科技大学出版社,2001年[4] 张凯,林伟.VHDL实例剖析[M].北京:国防工业出版社,2004年[5] 刘玉良,李玲玉,邓勇全.吉林:用EDA方法设计数字系统的灵活性[D],2002年[6] 宋万杰等.CPLD技术及其应用.[M].西安:西安电子科技大学出版社,2000年.学生(签名)年月日指导教师(签名)年月日教研室主任(签名)年月日系主任(签名)年月日毕业设计(论文)开题报告基于VHDL的数字频率计设计目录摘要Abstract第1章前言 (1)第2章数字频率计的要求 (2)2.1 主要技术指标 (2)2.2 工作内容和要求 (2)第3章数字频率计的方案设计.............................. (3)3.1 基本原理 (3)3.1.1 频率计测量频率的设计原理 (3)3.1.2 频率计测量频率的原理图 (3)3.2 设计流程图 (3)第4章数字频率计各模块功能介绍 (4)4.1 频率控制模块的VHDL语言源程序 (4)4.1.1 频率控制模块的程序 (4)4.2 十进制加法计数器CNT10的VHDL语言源程序 (5)4.2.1 十进制计数器的程序 (5)4.2.2 十进制计数器的顶层设计 (6)4.3系统模块的VHDL语言源程序 (7)4.3.1系统模块的设计 (7)4.3.2 系统模块的程序 (7)4.4 锁存器LOCK的VHDL语言源程序 (10)4.4.1 锁存器LOCK的程序 (10)4.5 译码模块DECODER的VHDL语言源程序 (11)4.5.1 译码模块DECODER的程序 (11)4.6四选一选择器MUX41的VHDL语言源程序 (12)4.6.1 MUX41程序 (12)4.7 四进制计数器CNT4的VHDL语言源程序 (13)4.7.1 四进制计数器CNT4的程序 (13)4.8 250分频器的VHDL语言源程序 (14)4.8.1 250分频器的程序 (14)第5章数字频率计仿真图 (15)5.1 频率控制模块仿真波形图 (15)5.2 十进制计数器模块仿真波形图 (15)5.3 锁存模块仿真波形图 (15)5.4 译码模块波形仿真图 (16)5.5 四选一选择器MUX41的仿真图 (16)5.6 四进制计数器CNT4的仿真图 (16)5.7 250分频器的仿真图 (17)第6章频率计顶层原理图的输入 (18)第7章下载测试 (19)第8章结束语 (20)参考文献答谢辞数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。

vhdl数字电子钟的设计与实现

vhdl数字电子钟的设计与实现

基于VHDL数字电子钟的设计与实现摘要:本课程设计完成了数字电子钟的设计,数字电子钟是一种用数字显示秒、分、时的计时装置,由于数字集成电路技术的发展和采用了先进的石英技术,它使数字钟具有走时准确、性能稳定、携带方便等优点。

数字钟已成为人们日常生活中必不可少的必需品,广泛用于个人家庭以及办公室等公共场所,给人们的生活带来极大的方便。

在这里我们将已学过的比较零散的数字电路的知识有机的、系统的联系起来用于实际,来培养我们的综合分析和设计电路的能力。

关键词:电子钟;门电路及单次按键;琴键开关目录第一章引言----------------------------------------------------------------11.1 课题的背景、目的------------------------------------------11.2 课程设计的内容------------------------------------------1 第二章EDA与VHDL简介--------------------------------------------------22.1 EDA的介绍---------------------------------------------22.2 VHDL的介绍--------------------------------------------32.2.1 VHDL的用途与优点-----------------------------------------------------------------32.2.2 VHDL的主要特点----------------------------------------------------------------------2.2.3 用VHDL语言开发的流程------------------------------------------------------------ 第三章数字电子钟的设计方案------------------------------------------63.1秒脉冲发生器--------------------------------------------73.2可调时钟模块--------------------------------------------83.3校正电路------------------------------------------------83.4闹铃功能------------------------------------------------103.5日历系统------------------------------------------------11 第四章结束语---------------------------------------------------------------134.1致谢----------------------------------------------------144.2参考文献------------------------------------------------151引言随着科学技术的不断发展,人们对时间计量的精度要求越来越高。

彭胜-基于VHDL的电子密码锁设计与实现正文

彭胜-基于VHDL的电子密码锁设计与实现正文

1 引言电子密码锁的使用体现了人们消费水平、保安意识和科技水平的提高,而且避免了携带甚至丢失钥匙的麻烦。

目前设计密码锁的方法很多,例如用传统的PCB 板设计、用PLC 设计或者用单片机设计。

而用V HDL 可以更加快速、灵活地设计出符合各种要求的密码锁,优于其他设计方法,使设计过程达到高度自动化。

本设计在Max + plus Ⅱ的环境中进行,用Al2tera 公司ACEX 1 K系列的EP1 K30 TC14423 来实现。

ACEX 1 K是Altera 公司着眼于通信、音频处理及类似场合的应用而推出的FPGA 器件芯片系列,其典型门数为10 万门,是当今Altera 多种产品中应用前景最好的器件系列之一。

EDA 技术设计电子系统具有用软件的方式设计硬件;设计过程中可用有关软件进行各种仿真,系统可现场编程、在线升级,整个系统可集成在一个芯片上等特点;不但设计周期短、设计成本低,而且将提高产品或设备的性能,缩小产品体积、提高产品的技术含量,提高产品的附加值。

用VHDL设计电子密码锁方案:作为通用电子密码锁,主要由 3 个部分组成:数字密码输入电路、密码锁控制电路和密码锁显示电路,作为电子密码锁的输入电路。

可供选择的方案有数字机械式键盘和触摸式数字键盘等多种。

(1)密码锁输入电路包括时序产生电路、键盘扫描电路、键盘弹跳消除电路、键盘译码电路等几个小的功能电路。

(2)密码锁控制电路包括按键数据的缓冲存储电路,密码的清除、变更、存储、激活电锁电路(寄存器清除信号发生电路),密码核对(数值比较电路),解锁电路(开/关门锁电路)等几个小的功能电路。

(3)七段数码管显示电路主要将待显示数据的BCD码转换成数码器的七段显示驱动编码。

1.1 课题背景随着社会的发展和人们生活水平的提高,人们的安全意识也逐步加强。

传统的机械锁由于其构造的简单,失效的事件屡见不鲜,如何实现保密防盗这一问题变的尤其的突出,密码锁以其安全性高、成本低、功耗低、易操作等优点受到越来越多人的欢迎。

EDA电子实训实验指导书

EDA电子实训实验指导书

石河子大学本科毕业设计实验指导书基于EDA平台的电子实训实验设计与开发学生姓名贺权指导教师任玲所在学院机械电气工程学院专业电气工程及其自动化年级11级(1)班中国·新疆·石河子2015年6月目录一、软件工具的安装 (2)二、实验部分 (3)实验一组合逻辑3-8译码器 (3)实验二汽车尾灯控制电路 (11)实验三、基于VHDL语言的数字秒表电路 (15)一、软件工具的安装本实验使用的是Quartus II 9.0,该软件可运行在winxp/win7/win8(包括兼容模式)等系统下,下载安装破解方法如下:1.在Altera公司官网上下载Quartus II 9.0的安装文件。

其中包括Quartus II 9.0的安装文件和ip库。

2.开始安装,win8以下的可以通过解压后得到安装文件,win8以上的直接双击打开就行,先安装90_quartus_windows,然后是90_ip_windows。

默认装在C盘,确保空间足够。

3.软件可免费试用30天。

鼓励购买正版,破解方法可百度。

4.用Quartus_II_9.0_b151破解器.exe破解C:\altera\90\quartus\bin下的sys_cpt.dll文件(运行Quartus_II_90_b151破解器.exe后,首先要点击“浏览”选中sys_cpt.dll,安装默认的sys_cpt.dll路径是在C:\altera\90\quartus\bin下,选中sys_cpt.dll后再点击“应用”。

很多用户上来就点击“应用”,实际上并没有破解这个软件)。

5.把license.dat里的XXXXXXXXXXXX 用您老的网卡号替换(在Quartus II7.2的Tools菜单下选择License Setup,下面就有NIC ID)。

6.在Quartus II 9.0的Tools菜单下选择License Setup,然后选择Licensefile,最后点击OK。

基于VHDL编程的DDS设计

基于VHDL编程的DDS设计
de al t i.
Ke r s f l — r g r mma l a e a r y FPGA ) d r c i i ls n h sz ( y wo d :i d p o a a e b eg t r a ( ; ie td g t y t e ie DDS) smu a a ; i l—
g n r l u p s . h a i , e in o o c eeDDS c i s c mpe e y Qu ru e e a p r o e Att es metme a d sg fc n rt h p i o ltd b a t s I o i r meh d a d se so I mp l , t o n t p f c e DDS d sg a e n VHDL e iigr u i ei e c ie n e in b sd o dtn o t d s rb di n s
钟 蔚杰 蒋 垒 刘 耀 应 , ,
(. 放 军 电 子 工 程学 院 , 肥 20 3 ;. 放 军 西 安 通 信 学 院 , 安 7 0 0 ) 1解 合 3 0 7 2解 西 11 6
摘要 : 分析了 D S的设计原理 , D 基于 V L语言进行 系统建模 , D S进行参数设计 , 了可重构的 I HD 对 D 实现 P
( . e to i En ie rn n tt t fP 1 Elc r n c g n e ig I s i eo LA , ee 3 0 7 Ch n ; . ’ n XTY fPLA, ’ n 7 0 0 Ch n ) u H f i2 0 3 , i a 2 Xia o Xi a 1 1 6319
文献标 识码 : A
文 章编 号 : N 211(070—12 4 C 3—4320 )2 0— 0 0

一种基于VHDL语言的频率计的设计与实现

一种基于VHDL语言的频率计的设计与实现

图 2频 率计 系统 方 块 图
2 防抖 电路 . 1 测信号的计数功能 。 在此电路 中,令时钟周期大于欲滤去的窄 3仿真波形 脉冲宽度 , 经过 电路处理 , 只有宽脉 冲被识 别 , 仿真波形 图如 图 3 所示。 窄脉冲滤去。 2 . 2显示 模

显 示 模 块 采 用 分 时 复 用 的方 式 逐 个 扫 描, 对几 个显示 模 块 逐 个 扫 描 进行 显示 , 显示 图 3 仿 真 波 形 图 模块的选择信号通过模四计数器来实现。 4FG P A实现 23 .分频模块 本设计选用 At a l r 公司的 E M 18 L 8 e P 72sC4 P A芯 片实现 , 率计 只 占该芯片部分资 频 由于所选时钟信 号为 2 M z 0 H 时钟信号 , 通 6 F G 过分频电路产生片选信号 ,防抖动电路周期信 源 , 资源作为它用。 其余 结语 号, 闸门信 号。 所谓 的分频器实际上就是一个计

图 1频 率 计 设 计 的 总体 框 图 2设计思路 在设计 考虑中将 时钟分 到 5 z H ,形成一个 固定的 0 s . 的闸门时间, 2 被测 信号通过 0 s . 的 I 闸门进入计数器进行计数 , 而在 01 的低电平 . s 内不计数 , 将计数器清零 , 下一次计数。为 以便
满足题意, 被测信号为几十千赫兹( H ) , K Z 时 显 示 # . k z被测信号 为几百 千赫兹( H ) , # #H ; # k z时 显示 # . H ; 槲 # z 被测 信号 为几千 千赫兹( H ) k k Z
时, 显示 堋# 非Hz 。采用时分复用 的方法控制 4 个数码管的显示。 该 系统共有 5 个模块组成 ,分别为分频模 块 DVD F E 、 I I E R 4 防抖 电路 D B U C 、 E O N E 计数 模块 FEET R T S 、锁 存 器模 块 F E A C 和 R L TH

数字秒表实验报告

数字秒表实验报告

EDA课程设计题目:基于VHDL的数字秒表设计学生姓名学号学院电子信息学院专业 10通信工程指导教师二零一二年十二月基于VHDL的数字秒表设计摘要当前电子系统的设计正朝着速度快,容量大,体积小,质量轻,省电的方向发展。

推动该潮流迅速发展的决定性因素就是使用了现代化的EDA设计工具。

此次课程设计先确定了系统的逻辑功能,选择电路结构,然后确定并设计电路所需的数据处理以及控制模块,在Quartus II上以超高速硬件描述语言VHDL为系统逻辑描述方法完成了数字秒表所需的分频模块,十进制计数控制模块,六进制计数控制模块与顶层设计和引脚分配,对其进行编译仿真,并下载到实验板上实际验证,通过本设计锻炼了计算机应用能力、VHDL语言的编程能力和Quartus II 的使用能力,此次设计圆满完成了用VHDL语言设计1/1000秒数字秒表并仿真和实际下载到ALTERA公司的ACEX1K系列的EP1K30TC144-3中实现。

关键词:EDA、Quartus II、VHDL、模块、仿真、ACEX1KAbstractThe electronic system design is moving speed, large capacity, small volume, light weight, energy saving direction. The trend of rapid development of determinant is the use of modern EDA design tools. This course is designed to determine the logic function of the system, establish the algorithm process, selection of circuit structure and circuit design, and then determine the desired data processing and control module, in the Quartus II to very high speed hardware description language VHDL as the system logical description method for completing the digital stopwatch desired frequency module, decimal counting control module, base six counting control module with top design and pin assignment, the compiled simulation, and downloaded to the experiments on actual test and verify, through the design of exercise ability of computer application and VHDL programming language and Quartus II using capability, the design was completed by VHDL language design 1\/1000 seconds stopwatch and simulation and the actual download to ALTERA company's ACEX1K series EP1K30TC144-3 implementation.Key Words:EDA、Quartus II、VHDL、Module、Simulation、ACEX1K目录摘要----------------------------------------------------------------2 Abstract------------------------------------------------------------2一、设计要求--------------------------------------------------------4二、设计思想与方案论证----------------------------------------------42.1 设计思想----------------------------------------------------42.2 方案论证----------------------------------------------------4三、系统设计--------------------------------------------------------53.1 顶层电路设计------------------------------------------------53.2时钟分频电路模块---------------------------------------------63.3十进制计数控制模块-------------------------------------------73.4六进制计数控制模块-------------------------------------------7四、系统仿真--------------------------------------------------------84.1 模块仿真----------------------------------------------------84.1.1 时钟分频电路模块仿真 ----------------------------------84.1.2 十进制计数控制模块仿真---------------------------------94.1.3 六进制计数控制模块仿真---------------------------------94.2 总体仿真---------------------------------------------------10五、下载实现--------------------------------------------------------105.1 引脚分配---------------------------------------------------115.2 下载验证---------------------------------------------------11六、问题与不足-----------------------------------------------------13七、心得体会-------------------------------------------------------13参考文献-----------------------------------------------------------14附录---------------------------------------------------------------14附录1 :本设计各模块代码-------------------------------------------14一、设计要求设计用于体育比赛用的数字秒表,要求1、计时精度大于1/1000秒,计时器能显示1/1000秒的时间,提供给计时器内部定时的时钟频率为12MHz;计时器的最长计时时间为1小时,为此需要一个7位的显示器,显示的最长时间为59分59.999秒2、设计有复位和起/停开关(1) 复位开关用来使计时器清零,并做好计时准备。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

begin sm1:statemachine port map(clk,keypressed,functionkey,keyvalue, iscount,alarmload,timeload,buffertime); sm2:statemachine2 port map(clk,keypressed,keyvalue,alarmon,houralarmon); cnt:counter port map(clk,timeload,buffertime,time); reg:alarmreg port map(clk,alarmload,buffertime,alarmtime); bl:bell port map(clk,houralarmon,alarmon,alarmtime,time,alarm_signal); process(iscount,time,buffertime) begin if(iscount='1') then displaytime<=time; else displaytime<=buffertime; end if; end process; end rt;
u1:divider_1m port map(clk,clk1s); process(clk1s,clk) begin if(clk'event and clk='1')then if(load='1')then time_sig<=buffertime; else if(clk1s='1')then if(time_sig(3 downto 0)="1001")then time_sig(3 downto 0)<="0000"; if(time_sig(7 downto 4)="0101")then time_sig(7 downto 4)<="0000"; if(time_sig(11 downto 8)="1001")then time_sig(11 downto 8)<="0000"; if(time_sig(15 downto 12)="0101")then time_sig(15 downto 12)<="0000"; if(time_sig(23 downto 16)="00001001")then time_sig(23 downto 16)<="00010000"; elsif(time_sig(23 downto 16)="00011001")then time_sig(23 downto 16)<="00100000"; else time_sig(23 downto 16)<=time_sig(23 downto 16)+1; end if;
S2/0
电子表顶层电路的实现
顶层文件
校时和设闹状态机
Contents
闹钟和整点报时状态机
闹钟寄存器
Contents
计时器 闹铃管理模块
Contents Contents
校时和设闹输出
采用元件例化的方法将各个模块连接起来,组 成电子表系统
brary ieee; se ieee.std_logic_1164.all; se ieee.std_logic_arith.all; ntity clock_top is port( clk,keypressed,functionkey:in std_logic; keyvalue:in std_logic_vector(3 downto 0); displaytime:out std_logic_vector(23 downto 0); alarm_signal:out std_logic; alarmon,houralarmon:buffer std_logic ); nd clock_top;
Title in here
Title in here
Title in here
Title in here
A
B
C
D
铃声管理模块
alarmon=‘0’
Time(6)=‘0’
S0/0
Alarm time=time
S4/1
S1/0
闹钟铃声
Time(6)=‘1’
状态机
Time(6)=‘1’
S3/1
Time(6)=‘0’
闹钟和整点报时状态机
Text in here
Text in here
Text in here
闹钟和整 点报时状 态机
每按一下整点报时开关键(C--1100), 整点报时的状态改变一次; 每按一下闹钟开关键(D--1101),闹钟 的状态改变一次。
闹钟寄存器
闹钟寄存器是一个带有并行加载功能的寄存器。其中clk是 全局时钟,buffertime是并行加载的数据输入,alarmload 为并行加载的控制输入,alarmtime为寄存器的输出。
计数器程序(2)
闹钟和整点报时
顶层程序
rchitecture rt of clock_top is omponent statemachine port( clk,keypressed,functionkey:in std_logic; keyvalue:in std_logic_vector(3 downto 0); iscount,alarmload,timeload:out std_logic; buffertime:buffer std_logic_vector(23 downto 0) ); nd component; omponent statemachine2 port( clk,keypressed:in std_logic; keyvalue:in std_logic_vector(3 downto 0); alarmon,houralarmon:out std_logic );
else time_sig(15 downto 12)<=time_sig(15 downto 12)+1;
end if; else
time_sig(11 downto 8)<=time_sig(11 downto 8)+1; end if; else
time_sig(7 downto 4)<=time_sig(7 downto 4)+1;
邢 安 安
基 于 V H D L 的 电 子 表 设 计
目录
1 电子表的系统分析和设计 计时器 状态机
2
3 4 5 6
闹钟寄存器
铃声管理模块
电子表顶层电路的实现
电子表的系统分析和设计 设计要求: 设计一个电子表,可以用于显示时 间丶设定闹钟和整点报时。电子表的 输入设备是一个4×4的编码键盘,输 出设备是用于显示的6位LED数码管 丶若干LED指示灯以及蜂鸣器。
计数器
时间计数器的目的是为 了得到时间输出,因此 需要每秒技术一次。
状态机
状态机模块是电子表的控制器,它给出其他模块的时序。状态机的输入 信号是键盘模块的输出信号keyvalue,keypressed和functionkey。状态机的 输出信号是缓存时间信号buffertime,闹钟时间加载信号alarmload,计时器时 间加载信号timeload,闹钟开关状态alarmom和整点报时开关状态 houralarmon。Time是由用户通过键盘输入的时间信号,可以送给闹钟寄存 器和计时器。 状态机包括两部分: 1.用于产生缓存时间信号buffertime,闹钟时间加载信号alarmload和 计时器时间加载信号timeload,称这部分状态机为校时和设闹状态机。 2.用于产生闹钟开关状态信号alarmon和整点报时开关状态信号 houralarmom,称为闹钟和整点报时开关状态机。
电子表的系统分析和设计
用于界面设计
1.校时操作
在电子表计时状态下按校
2.设置闹铃时间
3.开启或关闭整点报 时和闹钟
每按一下整点报时开关键
时键(键E)进入校时状 态
使用数字键0~9输入新
的时间并按下确认键(键 A)
在电子表计时状态下按 下设置闹钟键(键F) ,进入设定闹钟时间状 态。其他操作与校时操 作流程一样。
计数器程序(1)
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity counter is port( clk,load:in std_logic; buffertime:in std_logic_vector(23 downto 0); time:out std_logic_vector(23 downto 0) ); end counter; architecture rt of counter is component divider_1m port( clk:in std_logic; clk1s:out std_logic ); end component; signal clk1s:std_logic; signal time_sig:std_logic_vector(23 downto 0); begin
component counter port( clk,load:in std_logic; buffertime: in std_logic_vector(23 downto 0); time:out std_logic_vector(23 downto 0) ); end component; component alarmreg port( clk,alarmload:in std_logic; buffertime:in std_logic_vector(23 downto 0); alarmtime:out std_logic_vector(23 downto 0) ); end component ; component bell port( clk,houralarmon,alarmon:in std_logic; alarmtime,time:in std_logic_vector(23 downto 0); alarm_signal:out std_logic ); end component; signal buffertime,time,alarmtime:std_logic_vector(23 downto 0); signal iscount,alarmload,timeload:std_logic;
相关文档
最新文档