8路输出的彩灯循环控制电路数电说明书(内附电路图)

合集下载

八路彩灯循环显示

八路彩灯循环显示

电子课程设计——8路彩灯显示目录一、设计任务与要求——————————————3二、总体框图—————————————————3三、选择器件—————————————————4四、功能模块—————————————————5五、总体设计电路———————————————7六、总结———————————————————9参考文献—————————————————9八路彩灯设计一、设计任务与要求控制8个LED进行花色显示,设计五种模式:LED从左到右依次闪烁;LED 从右到左依次闪烁;LED从中间到两边依次闪烁;LED奇数指示灯依次闪烁;LED偶数指示依次闪烁。

五种模式循环切换,复位键(CLR)控制系统的运行与停止。

二、总体框图方案一:图(1)方案一框图时钟输入:给分频电路时钟脉冲;计数器:控制五种循环模式的转换;移位寄存器:通过移位实现每种模式的所有状态;LED显示:彩灯演示;清零输入:CLR键,实现复位功能。

方案二;图(2)方案二框图时钟输入:给分频电路时钟脉冲;控制电路:状态机,当时钟输入给状态机一个脉冲输入后,状态机就会自动进行第一种循环,当第一种循环结束后,状态机会自动进行下一个循环,依次类推,当第五种循环模式结束后,状态机就会自动转换到第一种模式,这样一直循环下去。

LED显示:彩灯演示;清零输入:CLR键,实现复位功能;方案选择:方案二;选择理由:结构简单,易于实现。

三、选择器件1、Quartus II 6.0 软件;2、FPGA适配器实验板:标准配置是EP1C12Q240C8;3、下载接口是数字芯片的下载接口(JTAG),下载形式为USB-Blaster,主要用于FPGA芯片的数据下载;4、时钟源;5、电源、八个LED灯。

四、功能模块1、LED(状态机)模块图(3)LED(状态机)模块LED模块由两个主控进程控制,时序进程和辅助进程组成,各进程分工明确。

在完整的循环过程中,状态机中最先启动的是以CP为敏感信号的时序进程,当时序进程被启动后,按顺序进行五种循环模式,当CLR接收到一个低电平脉冲时,循环将自动恢复到第一种循环模式,继而进行循环.2、LED模块VHDL程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cdxs isport(led : out std_logic_vector(7 downto 0);vga: out std_logic_vector(3 downto 0); ---控制小灯亮暗cp ,clr : in std_logic;ledd: out std_logic); ---20MHZ clock signalend cdxs;architecture one of cdxs is--signal fre :STD_LOGIC;signal s :std_logic_vector(5 downto 0); ---6花色signal s1 :std_logic_vector(7 downto 0); ---赋值给8个小灯beginvga<="0001";p1:process(cp,clr)beginif clr='1' thens<= "000000";ledd<=s(1);elsif cp'event and cp='1' thenif s<="100010" then s<=s+1;elses<="000000";end if;end if;ledd<=s(1);if s= "000000" then s1<="10000000";elsif s= "000001" then s1<="01000000";elsif s= "000010" then s1<="00100000";elsif s= "000011" then s1<="00010000";elsif s= "000100" then s1<="00001000";elsif s= "000101" then s1<="00000100";elsif s= "000110" then s1<="00000010";elsif s= "000111" then s1<="00000001";elsif s= "001000" then s1<="00000010";elsif s= "001001" then s1<="00000100";elsif s= "001010" then s1<="00001000";elsif s= "001011" then s1<="00010000";elsif s= "001100" then s1<="00100000";elsif s= "001101" then s1<="01000000";elsif s= "001110" then s1<="10000000";elsif s= "001111" then s1<="00011000";elsif s= "010000" then s1<="00100100";elsif s= "010001" then s1<="01000010";elsif s= "010010" then s1<="10000001";elsif s= "010011" then s1<="10000000";elsif s= "010100" then s1<="00100000";elsif s= "010101" then s1<="00001000";elsif s= "010110" then s1<="00000010";elsif s= "010111" then s1<="01000000";elsif s= "011000" then s1<="00010000";elsif s= "011001" then s1<="00000100";elsif s= "011010" then s1<="00000001";elsif s= "100000" then s1<="11111111";else s1<="00000000";end if;led<=not s1;3、仿真结果图(4)时序仿真图仿真分析:有仿真结果可以看出,在清零端 CLR输入无效时,在时钟脉冲的作用下,LED[7..0]的状态分别为011111111,10111111,11011111,11101111,11110111,11111011,11111101,11111110。

八路循环彩灯电路原理图

八路循环彩灯电路原理图

工作原理
该电路先由光敏电阻、继电器、9014三极管组成光控制电路,电路的光敏电阻受到光的照射下,光敏电阻呈低阻状态,使9014三极管的基极电位降低,处于截止状态,继电器K不吸合,灯不亮;当光敏电阻不受到光照条件,光敏电阻的阻值逐渐变大,9014三极管的基极电位上升,当上升到一定程度后,9014三极管导通,继电器K吸合,电路有输出,灯亮。

再由555定时器、74LS90计数器、74LS138译码器组成八路彩灯循环电路(如图2)。

74LS90计数器的时钟
由555震荡电路提供,改变555的震荡频率可改变计数器的计数快慢,即可控制彩灯的闪烁快慢,计数器输出信号输入至138译码器,由138译码,根据计数器输出不同的计数结果,即可控制138译码器译码得到8种不同的输出信号,决定控制彩灯的循环变化。

显然,不同的计数器与译码器电路,得到的是不同的彩灯循环控制结果。

若译码器不变,在计数器的控制端输入不同的控制信号,进行不同的计数,则在输出端可见不同的彩灯循环输出。

8路彩灯控制电路设计

8路彩灯控制电路设计

《8路彩灯控制电路设计》祥设计报告专业:_______________班级:_______________姓名: ________________学号:_______________指导教师:____________2014年6月25日1 •课程设计的目的2. 课程设计题目描述和要求3. 电路设计4. 设计过程中遇到的问题及解决办法5. 结论与体会附表参考书目1 •课程设计目的1.熟悉仿真软件Multisim ,使用软件经行电路仿真;2.第握数字电路课程学习的常见芯片的功能,熟悉其工作原理:3.了解数字系统设计的基本思想和方法,学会科学的分析问题、解决问题;4.增强学生动手能力,增加学生理论和实践结合的机会。

2. 课程设计题目描述和要求设计题目八路彩灯控制电路设计,即设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁设计要求1. 接通电源,电路开始工作,LED灯闪烁:2. LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式3. 电路设计3. 1闪烁花型设计花型I :8路彩灯分成两半从右到左依次点亮,全亮后再从右到左依次熄灭。

花型1【:8路彩灯由中间到两讪对称的依次点亮,余亮后仍由中间到两劝对称熄灭。

花型1【1: 8路彩灯分成两半从左到右依次点亮,全亮后再从左到右依次熄灭。

花型IV: 8路彩灯由两边到中间对称的依次点亮,全亮后仍由两边到中间对称熄灭。

花型状态编码表如表3. 1. 1所示。

每种花型连续循环两次,四种花型轮流交替,为了更好的显示结果本文用指示灯模拟彩灯。

表3. 1. 1 8路彩灯输出状态编码表3. 2设计原理3. 2.1系统的逻辑功能分析彩灯控制电路的原理框图如图3. 2. 1所示,它主要由控制器、编码器和脉冲信号发生器等部分组成。

图3. 2. 1彩灯控制器原理图编码器根据花型按节拍输出8路编码信号,控制彩灯按规定的规律亮、灭: 控制器为编码器提供所需的节拍脉冲及控制信号,控制整个系统的工作;脉冲信号发生器为系统提供时钟脉冲信号。

8路彩灯循环控制

8路彩灯循环控制

黄冈师范学院物理科学与技术学院EDA课程设计课题:8路彩灯循环控制专业年级:电信0702学号:200722240218姓名:余涛指导老师:冯杰时间:2010年1月8日一、设计目的:1、熟练掌握VHDL的设计过程。

2、掌握软件和硬件结合实现功能。

3、了解FPGA/CPLD类芯片的功能及作用。

4、了解和掌握彩灯设计的思想从而为今后的电路设计奠基基础。

二、设计思想:采用的设计方法是一种高层次的“自顶而下”的全新设计方法,这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计。

通过对VHDL语言设计普通电路来实现彩灯的控制的电路,从而能够用MAX+PLU SⅡ平台上进行仿真实现功能。

三、功能描述:此八路彩灯有3种变化,这3种变化可以进行手动切换,三种变化分别为:1、彩灯自左向右依次点亮。

2、彩灯自左向右逐个点亮。

3、彩灯两边两个先亮,然后同时向中间逐个点亮,随后中间同时向两边熄灭。

四、设计原理:本实验主要是为了实现了彩灯循环点亮的不同控制,它主要由彩灯循环控制方式和彩灯循环点亮变化方式选择两大部分组成。

1、彩灯循环控制方式的设计,在这里主要是通过实验箱指示灯显示。

在电路中以1代表灯亮,以0代表灯灭,由0、1按不同的规律组合代表不同的点亮方式,同时使其选择不同的频率,从而实现多种变化多种频率的花样功能显示。

2、彩灯循环点亮变化方式选择,在这里设计了彩灯三种不同的循环变化方式。

我们用状态机来控制不同的点亮方式,从而达到不同的点亮方式随时切换变化。

五、程序设计:(1)实现功能1:LIBRARY ieee;USE ieee.std_logic_1164.all;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY caideng1 ISPORT(CLK:IN STD_LOGIC;RST:IN STD_LOGIC;Q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END caideng1;ARCHITECTURE a OF caideng1 ISSIGNAL NUM: INTEGER RANGE 7 DOWNTO 0;BEGINPROCESS(CLK)BEGINIF RST='1' THEN NUM<=0;ELSIF CLK'EVENT AND CLK='1' THEN NUM<=NUM+1;END IF;END PROCESS;PROCESS(NUM)BEGINCASE NUM ISWHEN 0 =>Q<="10000000"; WHEN 1 =>Q<="01000000";WHEN 2 =>Q<="00100000"; WHEN 3 =>Q<="00010000";WHEN 4 =>Q<="00001000"; WHEN 5 =>Q<="00000100";WHEN 6 =>Q<="00000010"; WHEN 7 =>Q<="00000001";WHEN OTHERS =>NULL;END CASE;END PROCESS;END a;仿真波形:(2)实现功能2:LIBRARY ieee;USE ieee.std_logic_1164.all;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY caideng2 ISPORT(CLK:IN STD_LOGIC;RST:IN STD_LOGIC;Q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END caideng2;ARCHITECTURE a OF caideng2 ISSIGNAL NUM: INTEGER RANGE 7 DOWNTO 0;BEGINPROCESS(CLK)BEGINIF RST='1' THEN NUM<=0;ELSIF CLK'EVENT AND CLK='1' THENIF NUM<=7 THEN NUM<=NUM+1;ELSE NUM<=0;END IF;END IF;END PROCESS;PROCESS(NUM)BEGINCASE NUM ISWHEN 0 =>Q<="10000000";WHEN 1 =>Q<="11000000";WHEN 2 =>Q<="11100000";WHEN 3 =>Q<="11110000";WHEN 4 =>Q<="11111000";WHEN 5 =>Q<="11111100";WHEN 6 =>Q<="11111110";WHEN 7 =>Q<="11111111";WHEN OTHERS =>NULL;END CASE;END PROCESS;END a;仿真波形:(3)实现功能3:LIBRARY ieee;USE ieee.std_logic_1164.all;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY caideng3 ISPORT(CLK:IN STD_LOGIC;RST:IN STD_LOGIC;Q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); END caideng3;ARCHITECTURE a OF caideng3 ISSIGNAL NUM: INTEGER RANGE 7 DOWNTO 0; BEGINPROCESS(CLK)BEGINIF RST='1' THEN NUM<=0;ELSIF CLK'EVENT AND CLK='1' THENIF NUM<=7 THEN NUM<=NUM+1;ELSE NUM<=0;END IF;END IF;END PROCESS;PROCESS(NUM)BEGINCASE NUM ISWHEN 0 =>Q<="00000000";WHEN 1 =>Q<="10000001";WHEN 2 =>Q<="11000011";WHEN 3 =>Q<="11100111";WHEN 4 =>Q<="11111111";WHEN 5 =>Q<="11100111";WHEN 6 =>Q<="11000011";WHEN 7 =>Q<="10000001";WHEN OTHERS =>NULL;END CASE;END PROCESS;END a;仿真波形:(4)状态机:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY caideng_ztj ISPORT(CLK,RST: IN STD_LOGIC;Q1,Q2,Q3: IN STD_LOGIC_VECTOR(7 DOWNTO 0);T:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); END caideng_ztj;ARCHITECTURE behav OF caideng_ztj ISTYPE states IS (s0,s1,s2);SIGNAL YT : states ;BEGINP1: PROCESS(CLK,RST)BEGINIF RST ='1' THENYT <= S0;ELSIF CLK'EVENT AND CLK = '1' THENCASE YT ISWHEN s0 => YT <= s1;WHEN s1 => YT <= s2;WHEN s2 => YT <= s0;WHEN OTHERS => YT <= s0;END CASE ;END IF;END PROCESS ;P2: PROCESS(YT)BEGINCASE YT ISWHEN s0 => T(7 DOWNTO 0)<= Q1 (7 DOWNTO 0);WHEN s1 => T(7 DOWNTO 0)<= Q2 (7 DOWNTO 0);WHEN s2 => T(7 DOWNTO 0)<= Q3 (7 DOWNTO 0);WHEN OTHERS => T(7 DOWNTO 0)<=Q1 (7 DOWNTO 0); END CASE ;END PROCESS P2 ;END behav;仿真波形:(5)实现上述3项功能的总原理图:仿真波形:六、实验箱调试:1、引脚锁定:我选用实验电路结构图NO.7为这次彩灯硬件调试的操作界面。

八路彩灯控制器实验

八路彩灯控制器实验
首先点亮第一盏灯在第一盏灯熄灭之后点亮第二盏灯在第二盏灯熄灭之后再去点亮第三盏灯依此类推直到点亮第八盏灯看上去的效果就像亮点从第一盏灯依次流向第八盏灯然后全部熄灭反复循环这一过程
八路彩灯控制器
功能描述:首先点亮第一盏灯,在第一盏灯熄 灭之后,点亮第二盏灯,在第二盏灯熄灭之后,再 去点亮第三盏灯,依此类推,直到点亮第八盏灯, 看上去的效果就像亮点从第一盏灯依次流向第八盏 灯,然后全部熄灭,反复循环这一过程。 用中规模计数器设计该八路彩灯控制电路,要求: (1)每盏灯的点亮时间为100~120ms之间; (2)用555定时器设计时钟脉冲; (3)八路彩灯采用八个发光二极管代替。
A0 A1 A2 S1 S2 S3
P'0 P'1 P'2 P'3 P'4 P'5 P'6 P'7
P'0 P'1 P'2
74HC138
P'3
P'4 P'5
1
P'6
P'7
VCC(+5V) 1 R1 10kΩ
8 4 7 3
CLK
R2 10kΩ
C 22µ F
+
6 2 1 5
0.01µF
0
EP ET CLK D 0 Q0 D 1 Q1 D2 Q2 D3 Q3 C RD LD
74HC161 1
1
T≈ (R1+2R2)C×0.7, T≈462ms,
取R1=R2=10kΩ, C=22µ F
74HC138
1 0 1 0 1 0 1 0
A0 A1 A2 S1 S2 S3
Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7

8路彩灯说明书

8路彩灯说明书

目录1绪论 (1)1.1课题背景 (1)1.2 彩灯控制器的发展 (2)1.3课题研究内容 (2)2研究方案与预期成果 (2)2.1研究方案 (2)2.2预期成果 (3)3系统硬件设计 (3)3.1设计任务 (3)3.2题目设计 (3)3.3设计题目原理 (3)3.4原理框图 (4)3.5各部分电路图 (4)3.6芯片功能介绍 (6)4软件系统设计 (12)4.1软件平台 (12)4.2软件功能 (12)4.3软件分析手段 (13)4.4设计仿真结果 (13)5系统硬件焊接与调试 (14)5.1焊接技术 (14)5.2元件清单 (17)5.3实物图 (17)总结 (18)致谢 (19)参考文献 (20)8路彩灯控制器的设计摘要:在现代生活中,彩灯作为一种装饰,既可以增强人们的感观,起到广告宣传的作用,又可以增添节日气氛,不仅可以获得良好的观赏效果,而且可以省电。

本设计主要是实现八路彩灯的循环控制,利用555定时器设计多谐振荡器,输出一定频率的脉冲,利用138译码器译码得到不同的输出信号,决定彩灯的循环变化,利用计数器74LS90进行信号的脉冲激励和一块74138的控制以实现彩灯的循环控制。

利用译码器和计数器等设计实现彩灯控制电路,改变电路的不同工作状态,控制彩灯变幻出循环的闪烁效果。

设计电路实用,也可以通过计算机仿真直观地看到循环彩灯的控制效果,综合运用所学数字电路知识,学会设计和调试方法,从而产生浓厚兴趣。

如果稍微改动控制电路,可以更加完善,完成对彩灯多种变换亮法设计。

关键词设计原理芯片功能软件介绍焊接技术1绪论1.1课题背景彩灯控制电路应用越来越丰富我们的生活,成为我们生活不可缺少的一部分。

新中国成立后,彩灯得到了更大的发展,特别是随着我国科学技术的发展,彩灯更是花样翻新,奇招频出,传统的灯工艺和现代科学技术紧密结合,将电子、建筑、机械、遥控、声学、光导纤维等新技术、彩灯的设计制作,把形、色、光、声、动相结合,思想性、知识性、趣味性、艺术性相统一。

8个彩灯控制电路设计

8个彩灯控制电路设计

程序和PROTEUS图已经做出来了(如下),preteus图可以运行简单的彩灯程序,但是运行这个程序时灯只是全亮不动,程序和proteus图都找不出问题,希望高手指点一二。

要求:1 从左到右排列,编号为1~8号。

系统启动后,灯管点亮的顺序依次为:1号→2号→3号→...→7号→8 号,时间间隔为1S。

8根彩灯全亮后,持续10S。

然后按照8号→7号→6号→...→2号→1号的顺序依次熄灭,时间间隔为1S。

灯管全部熄灭后,等待2S,再从8号灯管开始,按照8号→7号→6号→...→2号→1号的顺序依次点亮,时间间隔为1S。

全部点亮后持续20S,再按照1号→2号→3号→...→7号→8号的顺序熄灭,时间间隔仍为1S。

灯管全部熄灭后,等待2S,再重新开始上述过程的循环。

下面是已经做出来的程序:ORG 0000HLJMP STARTORG 0030HSTART: MOV TMOD,#10HMOV TH1,#3CHMOV TL1,#0B0H ;定时器1工作于模式1,时间为50msMOV P1,#0FFH ;低电平有效,灯熄灭MOV R7,#00HLOOP1: INC R7MOV A,R7MOV DPTR,#TAB1MOVC A,@A+DPTRMOV P1,ALCALL DELAY1CJNE R7,#8,LOOP1;8次没显示完则继续循环LCALL DELAY2;过程1MOV R7,#8LOOP2: DEC R7MOV A,R7MOVC A,@A+DPTRMOV P1,ALCALL DELAY1CJNE R7,#0,LOOP2;8次没完则继续循环LCALL DELAY1MOV R7,#0HLOOP3:INC R7MOV DPTR,#TAB2MOV A,R7MOVC A,@A+DPTRMOV P1,ALCALL DELAY1CJNE R7,#8,LOOP3;8次未完继续循环LCALL DELAY3MOV R7,#8HLOOP4:DEC R7MOV A,R7MOVC A,@A+DPTRMOV P1,ALCALL DELAY1CJNE R7,#0,LOOP4;8次未完继续循环LCALL DELAY1LJMP START ;开始下一个循环DELAY1:MOV R0,#20LOOP5:SETB TR1JNB TF1,$DJNZ R0,LOOP5CLR TR1RET ;一秒延时DELAY2:MOV R0,#9LOOP6:LCALL DELAY1DJNZ R0,LOOP6RET ;9秒延时DELAY3:MOV R0,#19LOOP7:LCALL DELAY1DJNZ R0,LOOP7RET ;19秒延时TAB1:DB 0FFH,7FH,3FH,1FH,0FHDB 07H,03H,01H,00HTAB2:DB 0FFH,0FEH,0FCH,0F8H,0F0HDB 0E0H,0C0H,080H,00HEND这是proteus图。

plc8位彩灯循环控制

plc8位彩灯循环控制
S7-200检测到致命 错误时,SF/DIAG (故障诊断)LED 发出红光。
二、相关知识 (五)数据处理指令
1.传送指令 (1)数据传送指令
数据传送指令的表示符号
二、相关知识 (五)数据处理指令
1.传送指令 (2)数据块传送指令
字节块、字块、双字块传送指令的表示符号
二、相关知识 (五)数据处理指令
0=启动信号高电平有效,1=低电平有 效
SM37.2 SM47.2 SM57.2
二、相关知识 (一)功能指令概述
PLC的应用指令也称为功能指令,是指在完成基本逻 辑控制、定时控制、顺序控制的基础上,PLC制造商 为满足用户不断提出的一些特殊控制要求而开发的指 令。PLC的应用指令越多,其功能就越强。一条功能 指令相当于一段程序。
二、相关知识 (二)功能指令的形式
图8-2 功能指令的形式
3.移位指令 左移位和右移位指令的使用
二、相关知识 (五)数据处理指令
3.移位指令 (3)循环左移位指令
循环左移位指令的表示符号
二、相关知识 (五)数据处理指令
3.移位指令 (4)循环右移位指令
循环右移位指令的表示符号
二、相关知识 (五)数据处理指令
3.移位指令 (5)移位寄存器指令SHRB
移位寄存器的使用说明
② 中断指令
梯形图 RETI ENI DISI ATCH DTCH
CLR_EVNT
语句表 CRETI
ENI DISI ATCH INT,EVNT DTCH EVNT CEVNT EVNT
描述 从中断程序有条件返回
允许中断 禁止中断 连接中断事件和中断程序 断开中断事件和中断程序 的连接 清除中断事件
二、相关知识
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

绪论数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。

例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能高,而且容易实现测量的自动化和智能化。

随着集成技术的发展,尤其是中,大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。

随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电子逻辑课程设计的进行使我们有了这个非常关键的机会。

随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。

不论是思想,还是视觉,人们都在追求更高的美。

特别使在视觉方面,人们不满足于一种光,彩灯的诞生让人们是视觉对美有了更深的认识。

本设计是一个彩灯控制器,使其实用于家庭、商场、橱窗、舞厅、咖啡厅、公共广场等场所的摆设、装饰、广告、环境净化与美化。

本次课程设计在编写时参考了大量优秀教材,并得到太原科技大学机械电子工程学院测控技术与仪器教研室刘畅老师的大力支持,他提出来许多的意见和建议,在此表示衷心的感谢。

由于编者水平有限,本设计说明书难免出现不妥之处,恳请老师和广大读者给与批评并提出宝贵的意见,我将由衷地欢迎与感激。

编者2010年于太科大目录绪论 (1)一、课程设计题目 (3)二、课程设计目的 (4)三、课程设计基本要求: (4)四、课程设计任务和具体功能 (5)五、工作原理 (5)六、设计总框图 (6)七、电路元器件的说明 (6)八、总电路图 (27)九、调试与检测 (28)十、误差分析: (28)十一、设计心得体会。

(28)附录 (28)参考文献 (28)一、课程设计题目:8路输出的彩灯循环控制电路二、课程设计目的:1、巩固和加强“数字电子技术”、“模拟电子技术”课程的理论知识的理解和应用。

2、掌握电子电路的一般设计方法,了解电子产品研制开发的过程。

3、提高电子电路实验技能及Multisim10仿真软件的使用能力。

4、掌握电子电路安装和调试的方法及故障排除方法。

5、学会撰写课程设计说明书。

6、通过查询资料文献和设计手册,培养独立分析问题和解决问题的能力。

7、培养创新能力和创新思路。

三、课程设计基本要求:1、确定整体设计方案,明确要实现的功能和设计所需要的元器件,给出整体设计框图。

2、对电路中所需元器件及参数进行设计。

3、按照自己设计的电路用Multisim10软件画出总体电路图并进行仿真。

4、对课程设计中所有用到的电子元器件及芯片的功能进行描述。

5、认真完成课程设计说明书,提交格式符合要求,内内容完整的课程设计说明书。

四、课程设计任务和具体功能A、设计任务:采用中规模集成电路设计8路输出的彩灯循环控制电路。

B、具体功能:7490N计数器的时钟信号由555振荡电路提供,改变555的振荡频率,即可控制彩灯闪烁的快慢。

计数器输出端作74LS138D 译码器输入信号,计数器输出不同的数据,即可控制74LS138D 译码器得到8钟不同的输出。

五、工作原理电路由555定时器、7490N计数器和74LS138D译码器组成。

7490N计数器的时钟信号由555振荡电路提供,改变555的振荡频率,即可以改变计数器的计算快慢,即可控制彩灯闪烁的快慢。

计数器输出端作74LS138D译码器输入信号,计数器输出不同的数据,即可控制74LS138D译码器得到8钟不同的输出。

六、设计总框图电源接入↓555定时电路↓计数器电路↓译码器电路↓彩灯演示电路七、电路元器件的说明A、555定时器元器件介绍555集成时基电路是一种数字、模拟混合型的中规模集成电路,可连接成多谐振荡电路,产生单位脉冲,用于触发计数器。

在延时操作中,脉冲由一个电阻和一个电容控制。

用于稳定工作的振荡器时,频率由两个电阻和一个电容控制。

NE555会在下降延触发和清零,此时输出端产生200mA的电流。

NE555的工作温度为0℃~70℃。

1、555定时器的工作原理1.1 555定时器内部逻辑电路结构图1 555定时器内部逻辑电路结构555集成定时器是一种功能强大的模拟数字混合集成电路,有TTL和CMOS等型号之分,但外引线排列和功能完全相同。

其组成电路框图如图1所示。

555定时器有二个比较器A1和A2,有一个RS触发器,R和S高电平有效。

三极管TD是放电管(有时有另一三极管,是对清零起跟随作用,起缓冲作用),将对外电路的元件提供放电通路。

比较器的输入端有一个由三个5kW 电阻组成的分压器,由此可以获得2/3VCC和1/3VCC 两个基准分压值,一般称为阈值。

若在电源与地之间加上电压,当5 脚悬空时,则电压比较器A1 的反相输入端的电压为2VCC /3,A2 的同相输入端的电压为VCC /3。

若触发输入端TR 的电压小于VCC /3,则比较器A2 的输出为1,可使RS 触发器置1,使输出端OUT=1。

如果阈值输入端TH 的电压大于2VCC/3,同时TR 端的电压大于VCC /3,则A1 的输出为1,A2 的输出为0,可将RS 触发器置0,使输出为0 电平。

1.2 555定时器外引线排列图图2(1) 555定时器外引脚排列图2(2)555定时器外引脚排列555定时器的外引线排列如图2(1)(2)所示。

1脚是接地端GND,2脚是低触发端TL,3脚是输出端OUT,4脚是清除端Rd,5脚是电压控制端CV,6脚是高触发端TH,7脚是放电端DIS,8脚是电源端VCC。

②(TR)为低电平触发端。

该端输入电压高于1/3UCC时,比较器C2输出为“1”,当输入电压低于1/3UCC时,比较器C2输出为“0”。

③(u0)为输出端。

输出为“1”时的电压比电源电压UCC低2V左右。

输出最大电流为200mA。

④(R D)为复位端。

在此端输入负脉冲(“0”电平,低于0.7V)可使触发器直接置“0”,正常工作时,应将它接“1”(接+UCC)。

⑤(CO)为电压控制端。

静态时,此端电位为2/3UCC。

若在此端外加直流电压,可改变分压器各点电位值。

在没有其他外部联线时,应在该端与地之间接入0.01µF的电容,以防干扰引入比较器C1的同相端。

⑥(TH)为高电平触发端。

该输入端电压低于2/3UCC时,比较器C1输出为“1”,当输入电压高于2/3UCC时,比较器C1输出为“0”。

⑦(D)为放电端,当输出U0=“0”,即触发器Q= 1时,放电晶体管T导通,相当7端对地短接。

当u0 为“1”,即Q= 0,T 截止,7端与地隔离。

⑧和①分别为电源端和接地端。

1.3 555定时器功能表(如表1)RD TH TR u0 T0 ××0 导通1 大于2/3UCC 大于1/3UCC0 导通1 小于2/3UCC 小于1/3UCC1 截止1 小于2/3UCC 大于1/3UCC保持保持表1 555定时器功能表功能:功能主要用来产生时间基准信号(脉冲信号)。

因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号。

图3为多谐振荡电路波形图。

图3 555多谐振荡波形图2、555定时器参数指标555 定时器的电源电压范围宽,可在4.5V~16V 工作,7555 可在3~18V 工作,输出驱动电流约为200mA,CMOS555集成定时器的电源电压在4.5V~18V范围内使用。

因555定时器的输出端电流可以达到200mA,因此可以直接驱动与这个电流数值相当的负载,如继电器、扬声器、发光二极管等。

555 定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。

它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。

B、7490N计数器元器件介绍74LS90功能:十进制计数器(÷2 和÷5)原理说明:本电路是由4 个主从触发器和用作除2 计数器及计数周期长度为除5 的3 位2 进制计数器所用的附加选通所组成。

有选通的零复位和置9 输入。

管脚引线如下图通过不同的连接方式,74LS90可以实现四种不同的逻辑功能;而且还可借助R0(1)、R0(2)对计数器清零,借助S9(1)、S9(2)将计数器置9。

其具体功能详述如下:(1)计数脉冲从CP1输入,Q A作为输出端,为二进制计数器。

(2)计数脉冲从CP2输入,Q D Q C Q B作为输出端,为异步五进制加法计数器。

(3)若将CP2和Q A相连,计数脉冲由CP1输入,Q D、Q C、Q B、Q A作为输出端,则构成异步8421码十进制加法计数器。

(4)若将CP1与Q D相连,计数脉冲由CP2输入,Q A、Q D、Q C、Q B作为输出端,则构成异步5421码十进制加法计数器。

(5)清零、置9功能。

a )异步清零当R 0(1)、R 0(2)均为“1”;S 9(1)、S 9(2)中有“0”时,实现异步清零功能,即Q D Q C Q B Q A =0000。

b )置9功能当S 9(1)、S 9(2)均为“1”;R 0(1)、R 0(2)中有“0”时,实现置9功能,即Q D Q C Q B Q A =1001。

功能表如下图输 入输 出功 能 清 0 置 9 时 钟Q D Q C Q B Q AR 0(1)、R 0(2) S 9(1)、S 9(2) CP 1 CP 21 1× ××× 0 0 0 0 清 00 ××1 1××1 0 0 1 置 90 ×× 0 0 ×× 0↓ 1 Q A 输出二进制计数1 ↓Q D Q C Q B输出五进制计数↓ Q AQ D Q C Q B Q A输出8421BCD码十进制计数Q D↓Q A Q D Q C Q B输出5421BCD码十进制计数1 1 不变保持74LS90逻辑图如下图建议操作条件:符号参数最小典型最大单位VCC 电源电压 4.75 5 5.25 V VIH 输入高电平电压 2 - - V VIL 输入低电平电压- - 0.8 V IOH 高电平输出电流- - -0.4 mA IOL 低电平输出电流- - 8 mAfCLKClockFrequency(Note 5)时钟频率A to QA 0 - 32MHzB to QB 0 - 16fCLKClockFrequency(Note 6)时钟频率A to QA 0 - 20MHzB to QB 0 - 10tWPulseWidth A 15 - -ns B 30 - -(Note 5)脉冲宽度Reset 15 - -tWPulseWidth(Note 6)脉冲宽度A 25 - -nsB 50 - -Reset 25 - -tRELReset Release Time(Note 5)重置发布时间25 - - ns tRELReset Release Time(Note 6)重置发布时间35 - - ns TAFree Air OperatingTemperature工作温度0 - 70 ℃Note 5: CL = 15 pF, RL = 2 kW, TA = 25℃ and VCC =5VNote 6: CL = 50 pF, RL = 2 kW, TA = 25℃ and VCC =5V电气特性Symbo l 符号Parameter 参数Conditions 条件最小典型最大单位VI输入钳位电压VCC = 最小, II =-18mA- - -1.5 VVOH 输出高电平电压VCC=最小,IOH=最大 VIL=最大,VIH=最小2.73.4 - VVOL 输出低电平电压VCC = 最小, IOL= 最大 VIL = 最大, VIH = 最小(Note 8)- 0.35 0.5V IOL= 4mA, VCC =最小- 0.25 0.4II输入电流@最VCC = 最大, VI=7VReset-0.1 mA大输入电压VCC = 最大 VI=5.5V A-0.2 B-0.4IIH 输入高电平电流VCC = 最大, VI=2.7VReset-20μAA-40B-80IIL 输入低电平电流VCC = 最大, VI=0.4VReset--0.4mAA--2.4B--3.2IOS 短路输VCC = 最大-20 - -100 mA出电流(Note 9)ICC电源电流VCC = 最大(Note 7)- 9 15 mA交流电气特性:符号参数输出RL=2K单位CL =15pF CL =50pF最小最大最小最大fMax最大时钟频率A to QA 32 - 20 - MHz B to QB 16 - 10 -tPLH 低到高电平输出传递延迟时间A to QA - 16 - 20 nstPHL 高到低电平输出传递延迟时间A to QA - 18 - 24 nsC、74LS138译码器元器件介绍1.译码器译码器是组合电路的一部分。

相关文档
最新文档