通信电子线路实验报告解析

通信电子线路实验报告解析
通信电子线路实验报告解析

LC与晶体振荡器

实验报告

班别:信息xxx班

组员:

指导老师:xxx

一、实验目的

1)、了解电容三点式振荡器和晶体振荡器的基本电路及其工作原理。 2)、比较静态工作点和动态工作点,了解工作点对振荡波形的影响。 3)、测量振荡器的反馈系数、波段复盖系数、频率稳定度等参数。 4)、比较LC 与晶体振荡器的频率稳定度。

二、实验预习要求

实验前,预习教材:“电子线路非线性部分”第3章:正弦波振荡器;“高频电子线路”第四章:正弦波振荡器的有关章节。

三、实验原理说明

三点式振荡器包括电感三点式振荡器(哈脱莱振荡器)和电容三点式振荡器(考毕兹振荡器),其交流等效电路如图1-1。

1、起振条件

1)、相位平衡条件:X ce 和X be 必 需为同性质的电抗,X cb 必需为异性质

的电抗,且它们之间满足下列关系:

2)、幅度起振条件: 图1-1 三点式振荡器

式中:q m ——晶体管的跨导, F U ——反馈系数, A U ——放大器的增益,

LC

X X X X Xc o C L ce be 1 |||| )(=

-=+-=ω,即)(Au

1

* 'ie L oe m q q q Fu q ++

>

q ie——晶体管的输入电导,

q oe——晶体管的输出电导,

q'L——晶体管的等效负载电导,

F U一般在0.1~0.5之间取值。

2、电容三点式振荡器

1)、电容反馈三点式电路——考毕兹振荡器

图1-2是基本的三点式电路,其缺点是晶体管的输入电容C i和输出电容Co对频率稳定度的影响较大,且频率不可调。

L1L1

(a)考毕兹振荡器(b)交流等效电路

图1-2 考毕兹振荡器

2)、串联改进型电容反馈三点式电路——克拉泼振荡器

电路如图1-3所示,其特点是在L支路中串入一个可调的小电容C3,并加大C1和C2的容量,振荡频率主要由C3和L决定。C1和C2主要起电容分压反馈作用,从而大大减小了C i和C o对频率稳定度的影响,且使频率可调。

(a)克拉泼振荡器(b)交流等效电路

图1-3 克拉泼振荡器

3)、并联改进型电容反馈三点式电路——西勒振荡器

电路如图1-4所示,它是在串联改进型的基础上,在L1两端并联一个小电容C4,调节C4可改变振荡频率。西勒电路的优点是进一步提高电路的稳定性,振荡频率可以做得较高,该电路在短波、超短波通信机、电视接收机等高频设备中得到非常广泛的应用。本实验箱所提供的LC振荡器就是西勒振荡器。

C4

(a)西勒振荡器(b)交流等效电路

图1-4 西勒振荡器

3、晶体振荡器

本实验箱提供的晶体振荡器电路为并联晶振

四、实验设备图1-5 皮尔斯振荡器

TKGPZ-1型高频电子线路综合实验箱;

双踪示波器;

频率计;

繁用表。

五、实验内容与步骤

开启实验箱,在实验板上找到与本次实验内容相关的单元电路,并对照实验原理图,认清各个元器件的位置与作用,特别是要学会如何使用“短路帽”来切换电路的结构形式。

作为第一次接触本实验箱,特对本次实验的具体线路作如下分析,如图1-6所示(见图1-6)。

电阻R101~R106为三极管BG101提供直流偏置工作点,电感L101既为集电极提供直流通路,又可防止交流输出对地短路,在电阻R105上可生成交、直流负反馈,以稳定交、直流工作点。用“短路帽”短接切换开关K101、K102、K103的1和2接点(以后简称“短接K xxx╳-╳”)便成为LC西勒振荡电路,改变C107可改变反馈系数,短接K101、K102、K1032-3,并去除电容C107后,便成为晶体振荡电路,电容C106起耦合作用,R111为阻尼电阻,

R101

图1-6 LC与晶体振荡器实验电原理图

用于降低晶体等效电感的Q值,以改善振荡波形。在调整LC振荡电路静态工作点时,应短接电感L102(即短接K104 2-3)。三极管BG102等组成射极跟随电路,提供低阻抗输出。本实验中LC振荡器的输出频率约为1.5MHz,晶体振荡器的输出频率为10MHz,调节电阻R110,可调节输出的幅度。

经过以上的分析后,可进入实验操作。接通交流电源,然后按下实验板上的+12V总电源开关K1和实验单元的电源开关K100,电源指示发光二极管D4和D101点亮。

(一)、调整和测量西勒振荡器的静态工作点,并比较振荡器射极直流电压(U e、U eq)和直流电流(I e、I eq):

1、组成LC西勒振荡器:短接K1011-

2、K1021-2、K103 1-2、K1041-2,并在C107处插入1000p的电容器,这样就组成了与图1-4完全相同的LC西勒振荡器电路。用示波器(探头衰减10)在测试点TP102观测LC振荡器的输出波形,再用频率计测量其输出频率。

2、调整静态工作点:短接K104 2-3(即短接电感L102),使振荡器停振,并测量三极管BG101的发射极电压U eq;然后调整电阻R101的值,使U eq=0.5V,

并计算出电流I eq(=0.5V/1K=0.5mA)。

3、测量发射极电压和电流:短接K104 1-2,使西勒振荡器恢复工作,测量BG102的发射极电压U e和I e。

4、调整振荡器的输出:改变电容C110和电阻R110值,使LC振荡器的输出频率f0为1.5MHz,输出幅度V Lo为1.5V P-P。

(二)、观察反馈系数K fu对振荡电压的影响:

由原理可知反馈系数K fu=C106/C107。按下表改变电容C107的值,在TP102处测量振荡器的输出幅度V L(保持U eq=0.5V),记录相应的数据,并绘制V L=f(C)曲线。

(三)、测量振荡电压V L与振荡频率f之间的关系曲线,计算振荡器波段复盖系数f max/ f min:

选择测试点TP102,改变C110值,测量V L随f的变化规律,并找出振荡器的最高频率f max和最低频率f min。

通信电子线路实验报告4

大连理工大学 本科实验报告 课程名称:通信电子线路实验 学院:电子信息与电气工程学部专业:电子信息工程 班级:电子0904 学号: 200901201 学生姓名:朱娅 2011年11月20日

实验四、调幅系统实验及模拟通话系统 一、实验目的 1.掌握调幅发射机、接收机的整机结构和组成原理,建立振幅调制与 解调的系统概念。 2.掌握系统联调的方法,培养解决实际问题的能力。 3.使用调幅实验系统进行模拟语音通话实验。 二、实验内容 1.实验内容及步骤,说明每一步骤线路的连接和波形 (一)调幅发射机组成与调试 (1)通过拨码开关S2 使高频振荡器成为晶体振荡器,产生稳定的等幅高频振荡,作为载波信号。拨码开关S3 全部开路,将拨码开关S4 中“3”置于“ON”。用示波器观察高频振荡器后一级的射随器缓冲输出,调整电位器VR5,使输出幅度为0.3V左右。将其加到由MC1496 构成的调幅器的载波输入端。 波形:此时示波器上,波形为一正弦波,f=10.000MHz,Vpp=0.3V。 (2)改变跳线,将低频调制信号(板上的正弦波低频信号发生器)接至模拟乘法器调幅电路的调制信号输入端,用示波器观察J19 波形,调VR9,使低频振荡器输出正弦信号的峰-峰值Vp-p 为0.1~0.2V. 波形:此时示波器上,波形为一正弦波,f=1.6kHz,Vpp=0.2V。 (3)观察调幅器输出,应为普通调幅波。可调整VR8、VR9 和VR11,

使输出的波形为普通的调幅波(含有载波,m 约为30%)。 (4)将普通的调幅波连接到前置放大器(末前级之前的高频信号缓冲器)输入端,观察到放大后的调幅波。 波形:前置放大后的一调幅波,包络形状与调制信号相似,频率特性为载波信号频率。f?=1.6kHz,Vpp=0.8V,m≈30%。 (5)调整前置放大器的增益,使其输出幅度1Vp-p 左右的不失真调幅波,并送入下一级高频功率放大电路中。 (6)高频功率放大器部分由两级组成,第一级是甲类功放作为激励级,第二级是丙类功放。给末级丙类功放加上+12V 电源,调节VR4 使J8(JF.OUT)输出6Vp-p左右不失真的放大信号,在丙类功放的输出端,可观察到经放大后的调幅波,改变电位器VR6 可改变丙类放大器的增益,调节CT2 可以看到LC 负载回路调谐时对输出波形的影响。 波形:此时示波器上为放大后的调幅波,f?=1.6kHz,Vpp=8V,m≈30%。 (二)调幅接收机的组成与调试 从GP-4 实验箱的系统电路图可以看出调幅接收机部分采用了二次变频电路,其中频频率分别为:第一中频6.455MHz,第二中频455kHz。由于该二次变频接收机的两个本机振荡器均采用了石英晶体振荡器,其中第一本振频率16.455MHz,第二本振频率6.000MHz,也就是说本振频率不可调。这样实验箱的调幅接收机可以接收的频率就因为第一本振频率不可调而被固定下来,即该机可以接收的已调波的中心频率应该为10.000MHz(第1本振频率-第1中频频率 = 16.455MHz - 6.455MHz =

通信电子线路实验指导书-修改后

通信电子线路 Communication Electronic Circuit 实验指导书 Experimental Instruction 郭丽萍于少华李厚杰曲昕 大连民族学院 Dalian nationalities university 机电信息工程学院 (College of Electromechanical and Information Engineering) 2010年10月

实验要求 Experimental requirements 1. 每位学生必须按规定完成实验课,因故不能参加实验者,要在上课前向指导教师 请假(必须经有关领导批准)。对所缺实验要在期末考试前规定的时间内补齐,缺实验者不得参加期末考试。 2.每次实验课前,必须作到预习,弄清实验题目、目的、内容、步骤和操作过程以 及需要记录的参数等,认真做好预习报告。在实验前,指导教师要检查预习结果 并对学生进行提问。不写预习报告,又回答不出问题的学生,不允许做实验。 3.每次实验课前,学生必须提前5分钟进入实验室,找好座位,查看所需实验设备 是否齐全,做好实验前的准备工作。 4.做实验前,了解设备的原理和正确使用方法。在没有弄懂仪器设备的使用方法前, 不得贸然使用,否则因使用不当造成仪器设备损坏的,根据大连民族学院《仪器 设备损坏丢失处理暂行办法》的相关规定进行处理。 5.实验过程中实验室内设备不得任意搬动和调换,非本次实验所用仪器设备,未经 指导教师允许不得动用。 6.每位学生在实验过程中,要具有严谨的学习态度和认真、踏实、一丝不苟的科学 作风。坚持每次实验都要亲自动手,不可“坐车”,实验小组内要轮流进行接线、操作和记录等工作,无特殊原因,中途不得退出实验,否则本次实验无效。 7.实验中的接线、改线、拆线都必须在切断电源的情况下进行(包括安全电压),线 路连接完毕再送电。实验中,特别是设备刚投入运行时,要随时注意仪器设备的 运行情况,如发现有超量程、过热、异味、冒烟、火花等,应立即断电,并请指 导老师检查处理。 8.实验过程中,如出现事故,应马上关闭电源,然后向指导教师和实验技术人员如 实反映事故情况,并分析原因和处理事故。如有损坏仪表和设备情况,应马上提 出,按有关规定处理。 9.每次实验结束,指导教师要对实验数据和结果进行检查并签字,在教师确认正确 无误后,学生方可拆线。整理好实验台和周围卫生,填写实验登记簿后方可离开。 10.实验课后,每位学生必须按实验指导书的要求,独立完成实验报告,不得抄袭。i

通信电子线路习题解答汇总

思考题与习题 2-1 列表比较串、并联调谐回路的异同点(通频带、选择性、相位特性、幅度特性等)。 表2.1

2-2 已知某一并联谐振回路的谐振频率f p=1MHz,要求对990kHz的干扰信号有足够的衰减,问该并联回路应如何设计? 为了对990kHz的干扰信号有足够的衰减,回路的通频带必须小于20kHz。 取kHz B10 =, 100 10 1 = = = kHz MHz B f Q p p 2-3 试定性分析题图2-1所示电路在什么情况下呈现串联谐振或并联谐振状态? 1 2 C2 L1 C2 1 (b) (c) 题图2-1

图(a ):2 21 11 11 1L C L C L o ωωωωω- + - = 图(b ):2 21 11 11 1C L C L C o ωωωωω- + - = 图(c ):2 21 11 11 1C L C L C o ωωωωω- + - = 2-4 有一并联回路,其通频带B 过窄,在L 、C 不变的条件下,怎样能使B 增宽? P o Q f B 2 =, 当L 、C 不变时,0f 不变。所以要使B 增宽只要P Q 减小。 而C L R Q p P =,故减小P R 就能增加带宽 2-5 信号源及负载对谐振回路有何影响,应如何减弱这种影响? 对于串联谐振回路(如右图所示):设没有接入信 号源内阻和负载电阻时回路本身的Q 值为o Q ,则: R L Q o o ω= 设接入信号源内阻和负载电阻的Q 为L Q 值,则: R R R R Q R R R L Q L s L + += ++= 1L s o L ω 其中R 为回路本身的损耗,R S 为信号源内阻,R L 为负载电阻。 由此看出:串联谐振回路适于R s 很小(恒压源)和R L 不大的电路,只有这样Q L 才不至于太低,保证回路有较好的选择性。 对于并联谐振电路(如下图所示):

中南大学通信电子线路实验报告

中南大学 《通信电子线路》实验报告 学院信息科学与工程学院 题目调制与解调实验 学号 专业班级 姓名 指导教师

实验一振幅调制器 一、实验目的: 1.掌握用集成模拟乘法器实现全载波调幅和抑止载波双边带调幅的方法。 2.研究已调波与调制信号及载波信号的关系。 3.掌握调幅系数测量与计算的方法。 4.通过实验对比全载波调幅和抑止载波双边带调幅的波形。 二、实验内容: 1.调测模拟乘法器MC1496正常工作时的静态值。 2.实现全载波调幅,改变调幅度,观察波形变化并计算调幅度。 3.实现抑止载波的双边带调幅波。 三、基本原理 幅度调制就是载波的振幅(包络)受调制信号的控制作周期性的变化。变化的周期与调制信号周期相同。即振幅变化与调制信号的振幅成正比。通常称高频信号为载波信号。本实验中载波是由晶体振荡产生的10MHZ高频信号。1KHZ的低频信号为调制信号。振幅调制器即为产生调幅信号的装置。 在本实验中采用集成模拟乘法器MC1496来完成调幅作用,图2-1为1496芯片内部电路图,它是一个四象限模拟乘法器的基本电路,电路采用了两组差动对由V1-V4组成,以反极性方式相连接,而且两组差分对的恒流源又组成一对差分电路,即V5与V6,因此恒流源的控制电压可正可负,以此实现了四象限工作。D、V7、V8为差动放大器V5与V6的恒流源。进行调幅时,载波信号加在V1-V4的输入端,即引脚的⑧、⑩之间;调制信号加在差动放大器V5、V6的输入端,即引脚的①、④之间,②、③脚外接1KΩ电位器,以扩大调制信号动态范围,已调制信号取自双差动放大器的两集电极(即引出脚⑹、⑿之间)输出。

图2-1 MC1496内部电路图 用1496集成电路构成的调幅器电路图如图2-2所示,图中VR8用来调节引出脚①、④之间的平衡,VR7用来调节⑤脚的偏置。器件采用双电源供电方式(+12V,-9V),电阻R29、R30、R31、R32、R52为器件提供静态偏置电压,保证器件内部的各个晶体管工作在放大状态。 四、实验结果 1. ZD.OUT波形: 2. TZXH波形:

通信电子线路问题汇总-student

绪论: 1. 调幅发射机和超外差接收机的结构是怎样的?每部分的输入和输出波形是怎样的? P7 ,P9 2. 什么是接收机的灵敏度? 3.无线电电波的划分,P12 例:我国CDMA 手机占用的CDMA1X ,800MHz 频段,按照无线电波波段划分,该频段属于什么频段? 第三章: 1. 什么叫通频带?什么叫广义失谐? 2. 串联谐振回路和并联谐振回路的谐振曲线(幅度和相位)和电抗性质? 3. 串联谐振回路和并联谐振回路适用于信号源内阻和负载电阻大还是小的电路? 4. 电感抽头接入和电容抽头接入的接入系数? 5. Q 值的物理意义是什么?Q 值由哪些因素决定,其与通频带和回路损耗的关系怎样? 6. 串联谐振电路Q 值的计算式?谐振时电容(或电感)上电压与电阻(或电源)上电压的 关系是怎样的? 7. 并联谐振电路有哪两种形式,相应的Q 值计算式是怎样的?谐振时电容(或电感)上电 流与电阻(或电源)上电流的关系是怎样的? 8. 串联LC 谐振回路的谐振频率与什么有关?回路阻抗最大值和最小值是多少,分别在什么条件下取得?当工作频率小于、等于、大于谐振频率时, 串联LC 谐振回路的阻抗性质是怎样的? 9. 并联LC 谐振回路的谐振频率与什么有关?回路阻抗最大值和最小值是多少,分别在什么条件下取得?当工作频率小于、等于、大于谐振频率时, 并联LC 谐振回路的阻抗性质是怎样的? 10. Q 值较大时,串并联阻抗等效互换前后,电阻和电抗的关系是怎样的? 11. 信号源和负载对谐振电路的Q 值有何影响?串并联谐振电路对信号源内阻和负载电阻的 大小分别有什么样的要求? 12. 信号源内阻和负载电阻对串并联谐振回路的特性将产生什么影响?采取什么措施可以 减小这些影响? 13. 下面电路有几个谐振频率,分别是多少,大小关系怎样?该电路a,b 端阻抗模值和电抗性 质随频率如何变化? 14. 下面电路有几个谐振频率,分别是多少,大小关系怎样?该电路a,b 端阻抗模值和电抗性质随频率如何变化? 1 L R d a + - C + - 12 =+R R R ab V db V b 2 L

通信电子线路Multisim仿真实验报告

通信电子线路实验报告Multisim调制电路仿真

目录 一、综述 .......................... 错误!未定义书签。 二、实验内容 ...................... 错误!未定义书签。 1.常规调幅AM ................... 错误!未定义书签。 (1)基本理论.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 (3)结论: ...................... 错误!未定义书签。 2.双边带调制DSB ................ 错误!未定义书签。 (1)基本理论.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 3.单边带调制SSB ................ 错误!未定义书签。 (1)工作原理.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 4.调频电路FM ................... 错误!未定义书签。 (1)工作原理.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 5.调相电路PM ................... 错误!未定义书签。 (1)工作原理.................... 错误!未定义书签。 (2)Multisim电路仿真图............ 错误!未定义书签。 三、实验感想 ...................... 错误!未定义书签。

通信电子线路大型实验指导书

通信电子线路大型实验指导书 (试用) 朱广信 张江鑫 浙江工业大学 信息工程学院通信系 2004年5月

一、教学大纲 1、课程概况 课程类别: 学科基础课,必修; 开课对象: 通信专业本科生; 开课学期: 6; 学 分: 1 学分; 总 学 时: 2周; 实验学时: 2周; 先修课程: 通信电子线路 参考书: 【1】《电子系统设计》,何小艇等编,浙江大学出版社,2000年 【2】《电子技术课程设计指导》 彭介华编,高等教育出版社,1997年10月 2、课程的目的和任务 通信电子线路大型实验是对本专业学生设立的重要实验环节,使学生熟悉和掌握通信电子线路的一般设计步骤与方法,提高制作技能。同时,掌握电子线路设计中常用的PCB设计技术和Sch电路图的绘图方法。 3、课程的基本内容和要求 (1) 实验理论:在《通信电子线路》课程的基础上,完成无线话筒的设计。基本理论包括音频信号的放大、振荡器、音频调制及高频功率放大等。同时,认识和掌握电子线路板的CAD设计技术。 (2) 实验教学 :在《通信电子线路》课程的基础上,进一步从实验中认识和掌握通信电子线路的基本原理,初步认识高频电子线路设计和制作中的基本方法和技巧。 (3) 对学生能力培养的要求:根据所学《通信电子线路》的基本原理,设计和制作无线话筒,包括电路图和PCB板的设计,完成话筒的装配和调试,并进行演示。 4、考核方式及成绩评定 实验完成后,每位学生分别对自己安装调试后的通信电子线路板进行演示。并在微机中显示出所设计的PCB电路和Sch电路图。评分标准如下: (1)、电子线路板的设计与制作(40分); (2)、PCB电路和Sch电路图(40分); (3)、实验报告(20分)。

《通信电子线路》实验指导书XXXX版(简)

北方民族大学《通信电子线路》实验指导书 主编 校对 审核 北方民族大学电气信息工程学院 二○一三年九月

目录 实验一小信号谐振放大器的性能分析 (2) 实验二LC正弦波振荡器的综合分析 (8) 实验三振幅调制与解调电路研究与综合测试 (12) 实验四频率调制与解调电路研究与综合测试 (22) 实验五锁相环的工作过程及综合分析 (29)

实验一 小信号谐振放大器的性能分析 (综合性实验) 一、实验目的 1.掌握小信号谐振放大电路的组成和性能特点。 2.熟悉小信号谐振放大器的主要性能指标。 3.学会频响特性的测试。 二、实验仪器与器材 1. 高频电子技术实验箱中小信号谐振放大器实验模块电路(RK-050) 2. 示波器 3. 信号源 4. 扫频仪 三、小信号调谐放大器实验电路 图1-1为小信号调谐放大器实验电路(RK-050)。图中,201P 为信号输入铆孔,当做实验时,高频信号由此铆孔输入。201TP 为输入信号测试点。接收天线用于构成收发系统时接收发方发出的信号。变压器21T 和电容12C 、22C 组成输入选频回路,用来选出所需要的信号。晶体三极管21BG 用于放大信号,12R 、22R 和52R 为三极管21BG 的直流偏置电阻,用以保证晶体管工作于放大区域,且放大器工作于甲类状态。三极管21BG 集电极接有LC 调谐回路,用来谐振于某一工作频率上。本实验电路设计有单调谐与双调谐回路,由开关22K 控制。当22K 断开时,为电容耦合双调谐回路,12L 、22L 、42C 和52C 组成了初级回路,32L 、42L 和92C 组成了次级回路,两回路之间由电容62C 进行耦合,调整62C 可调整其耦合度。当开关22K 接通时,即电容62C 被短路,此时两个回路合并成单个回路,故该电路为单调谐回路。图中12D 、22D 为变容二极管,通过改变ADVIN 的直流电压,即可改变变容二极管的电容,达到对回路的调谐。三个二极管的并联,其目的是增大变容二极管的容量。图中开关21K 控制32R 是否接入集电极回路,21K 接通时(开关往下拨为接通),将电阻32R (2K )并入回路,使集电极负载电阻减小,回路Q 值降低,放大器增益减小。图中62R 、72R 、82R 和三极管22BG 组成放大器,用来对所选信号进一步放大。 202TP 为输出信号测试点,202P 为信号输出铆孔。

武汉科技大学通信电子电路期末试卷+答案教学总结

试题纸A -1 - 课程名称:通信电子线路专业班级:电子信息工程07级 考生学号:考生姓名: 闭卷考试,考试时间120分钟,无需使用计算器 一、单项选择(2' *12=24分) 1、根据高频功率放大器的负载特性,由于RL减小,当高频功率放大器从临界状态向欠压区 变化时。 (A)输出功率和集电极效率均减小(B)输出功率减小,集电极效率增大 (C)输出功率增大,集电极效率减小(D)输出功率和集电极效率均增大 2、作为集电极调幅用的高频功率放大器,其工作状态应选用。 (A)甲类状态(B)临界状态(0 过压状态(D)欠压状态 3、对于三端式振荡器,三极管各电极间接电抗元件X(电容或电感),C、E电极间接电抗 元件X1,B、E电极间接X2,C B电极间接X3,满足振荡的原则是。 (A)X1与X2性质相同,X1、X2与X3性质相反 (B)X1与X3性质相同,X1、X3与X2性质相反 (C)X2与X3性质相同,X2、X3与X1性质相反 (D)X1与X2、X3性质均相同 4、在常用的反馈型LC振荡器中,振荡波形好且最稳定的电路是。 (A)变压器耦合反馈型振荡电路(B)电容三点式振荡电路 (C)电感三点式振荡电路(D)西勒振荡电路 5、为使振荡器输出稳幅正弦信号,环路增益KF(j oo)应为。 (A)KF(j o )= 1 (B)KF(j o )> 1 (C)KF(j o)v 1 (D)KF(j o )= 0 6、单音正弦调制的AM?幅波有个边频,其调制指数ma的取值范围是 (A) 1、(0,1) (B) 1、(-1,1) (C) 2、(0,1) (D) 2、(-1,1) 7、某已调波的数学表达式为u( t) = 2(1 + Sin(2 nX 103t))Sin2 nX 106t,这是一个(A)AM 波(B)FM 波(C)DSB 波(D)SSB 波 8、在各种调制电路中,最节省频带和功率的是。 (A)AM电路(B)DSB电路(C)SSB电路(D)FM电路

通信电子线路实物实验报告

东南大学电工电子实验中心 实验报告 课程名称:电子电路与综合实验 第一次实物实验 院(系):信息科学与工程学院专业:信息工程姓名:陈金炜学号:04013130 实验室:高频实验室实验组别: 同组人员:陈秦郭子衡邹俊昊实验时间:2015年11月21日评定成绩:审阅教师:

实验一常用仪器使用 一、实验目的 1. 通过实验掌握常用示波器、信号源和频谱仪等仪器的使用,并理解常用仪器的基本工作 原理; 2.通过实验掌握振幅调制、频率调制的基本概念。 二、实验仪器 示波器(带宽大于 100MHz) 1台 万用表 1台 双路直流稳压电源 1台 信号发生器 1台 频谱仪 1台 多功能实验箱 1 套 多功能智能测试仪1 台 三、实验内容 1、说明频谱仪的主要工作原理,示波器测量精度与示波器带宽、与被测信号频率之间关系。 答: (1)频谱仪结构框图为: 频谱仪的主要工作原理: ①对信号进行时域的采集,对其进行傅里叶变换,将其转换成频域信号。这种方法对于AD 要求很高,但还是难以分析高频信号。

②通过直接接收,称为超外差接收直接扫描调谐分析仪。即:信号通过混频器与本振混频后得到中频,采用固定中频的办法,并使本振在信号可能的频谱范围内变化。得到中频后进行滤波和检波,就可以获取信号中某一频率分量的大小。 (2)示波器的测量精度与示波器带宽、被测信号频率之间的关系: 示波器的带宽越宽,在通带内的衰减就越缓慢; 示波器带宽越宽,被测信号频率离示波器通带截止频率点就越远,则测得的数据精度约高。 2、画出示波器测量电源上电时间示意图,说明示波器可以捕获电源上电上升时间的工作原理。 答: 上电时间示意图: 工作原理: 捕获这个过程需要示波器采样周期小于过渡时间。示波器探头与电源相连,使示波器工作于“正常”触发方式,接通电源后,便有电信号进入示波器,由于示波器为“正常”触发方式,所以在屏幕上会显示出电势波形;并且当上电完成后,由于没有触发信号,示波器将不再显示此信号。这样,就可以利用游标读出电源上电的上升时间。 3、简要说明在FM 调制过程中,调制信号的幅度与频率信息是如何加到FM 波中的? 答: 载波的瞬时角频率为()()c f t k u t ωωΩ=+,(其中f k 为与电路有关的调频比例常数) 已调的瞬时相角为00 t ()()t t c f t dt t k u t dt θωωθΩ =++? ?()= 所以FM 已调波的表达式为:000 ()cos[()]t om c f u t U t k u t dt ωθΩ =++? 当()cos m u t U t ΩΩ=Ω时,00()cos[sin ]om c f u t U t M t ωθ=+Ω+ 其中f M 为调制指数其值与调制信号的幅度m U Ω成正比,与调制信号的角频率Ω反比,即 m f f U M k Ω=Ω 。这样,调制信号的幅度与频率信息是已加到 FM 波中。

高频实验指导书2017

实验平台操作及注意事项 一、实验平台基本操作方法 在使用实验平台进行实验时,要按照标准的规范进行实验操作,一般的实验流程包含以下几个步骤: (1)将实验台面整理干净整洁,设备摆放到对应的位置开始进行实验; (2)打开实验箱箱盖,或取下箱盖放置到合适的位置;(不同的实验箱盖要注意不能混淆); (3)简单检查实验箱是否有明显的损坏;如有损坏,需告知老师,以便判断是否可以进行正常实验; (4)根据当前需要进行的实验内容,由老师或自行更换实验模块;更换模块需要专用的钥匙,请妥善保管; (5)为实验箱加电,并开启电源;开启电源过程中,需要注意观察实验箱电源指示灯(每个模块均有电源指示),如果指示灯状态异常,需要关闭电源,检查原因; (6)实验箱开启过程需要大约20s时间,开启后可以开始进行实验; (7)实验内容等选择需用鼠标操作; (8)在实验过程中,可以打开置物槽,选择对应的配件完成实验; (9)实验完成后,关闭电源,整理实验配件并放置到置物槽中; (10)盖上箱盖,将实验箱还原到位。 二、实验平台系统功能介绍 实验平台系统分为八大功能板块,分别为实验入门、实验项目、低频信号源、高频信号源、频率计、扫频仪、高频故障(实验测评)、系统设置。

1.设备入门 设备入门分为四类,分别是平台基本操作、平台标识说明、实验注意事项、平台特点概述。 2.实验项目 实验项目是指实验箱支持的实验课程项目,可以完成的实验内容列表,分为高频原理实验和高频系统实验。 高频原理实验细分为八大实验分类,分别是小信号调谐放大电路实验、非线性丙类功率放大电路实验、振荡器实验、中频放大器实验、混频器实验、幅度解调实验、变容二极管调频实验、鉴频器实验。如下图所示。

通信电子线路实习报告

通信电路实习报告
姓 名 学 号 同组者 指导老师 代玲莉,蔡烁 实习时间 2012 年 12 月 17 日至 2012 年 12 月 28 日

指导老师评语:
报告评分建议等级:
指导教师签名:
日期:
目录
1 引言 .................................................................................................................................... 2 1.1 实习目的.................................................................................................................. 2 1.2 实习注意事项.......................................................................................................... 2 1.3 实习平台.................................................................................................................. 3 1.4 实习仪器.................................................................................................................. 3 2 设计原理 ............................................................................................................................ 3 2.1 AM 调制原理............................................................................................................. 3 2.2 电子元件 .................................................................................................................... 4 3 设计步骤 ............................................................................................................................ 4 3.1 电路设计.................................................................................................................... 4 3.2 电路绘制.................................................................................................................... 6 3.3 电路制作.................................................................................................................. 10 3.4 电路调试.................................................................................................................. 11 3.4.1 信号输入 ..................................................................................................... 11 3.4.2 电源接入 ..................................................................................................... 13 3.4.3 输出检测 ..................................................................................................... 13 3.4.4 波形调节 ..................................................................................................... 13 3.4.5 结果分析 ..................................................................................................... 14 4 出现的问题及解决方法 .................................................................................................. 14 5 结束语 .............................................................................................................................. 15
1

通信电子线路实验报告三点式振荡

通信电了线路课程设计 课程名称通信电子线路课程设计_________________ 专业___________________ 通信工程 ______________________ 班级___________________________________________ 学号___________________________________________ 姓名___________________________________________

指导教师________________________________________ 、八 刖 现代通信的主要任务就是迅速而准确的传输信息。随着通信技术的日益发展,组成通信系统的电子线路不断更新,其应用十分广泛。实现通信的方式和手段很多,通信电子线路主要利用电磁波传递信息的无线通信系统。 在本课程设计中,着眼于无线电通信的基础电路一一LC正弦振荡器的分析和研究。常用正弦波振荡器主要由决定振荡频率的选频网络和维持振荡的正反馈放大器组成,这就是反馈振荡器。按照选频网络所采用元件的不同,正弦波振荡器可分为LC振荡器、RC振荡器和晶体振荡器等类型。其中LC振荡器和晶体振荡器用于产生高频正弦波。正反馈放大器既可以由晶体管、场效应管等分立器件组成,也可由集成电路组成。LC振荡器中除了有互感耦合反馈型振荡器之外,其最基本的就是三端式(又称三点式)的振荡器。而三点式的振荡器中又有电容三点式振荡器和电感三点式振荡器这两种基本类型。 反馈振荡器是一种常用的正弦波振荡器,主要由决定振荡频率的选频网络和维持振荡的正反馈放大器组成。按照选频网络所采用元件的不同,正弦波振荡器可分为LC振荡器、RC振荡器和晶体振荡器等类型。本文介绍了高频电感三点式振荡器电路的原理及设计,电感三点式易起振,调整频率方便,可以通过改变电容调整频率而不影响反馈系数。正弦波振荡器在各种电子设备中有着广泛的应用。根据所产生的波形不同,可将振荡器分成正弦波振荡器和非正弦波振荡器两大类。前者能产生正弦波,后者能产生矩形波、三角波、锯齿波等。 在此次的通信电子线路课程设计中,我选做的是电感三点式振荡设计,通过为时一周的上机实验,我学到了很多书本之外的知识,在老师的指导下达到实验设计的要求指

通信电子线路实验报告解析

LC与晶体振荡器 实验报告 班别:信息xxx班 组员: 指导老师:xxx

一、实验目的 1)、了解电容三点式振荡器和晶体振荡器的基本电路及其工作原理。 2)、比较静态工作点和动态工作点,了解工作点对振荡波形的影响。 3)、测量振荡器的反馈系数、波段复盖系数、频率稳定度等参数。 4)、比较LC 与晶体振荡器的频率稳定度。 二、实验预习要求 实验前,预习教材:“电子线路非线性部分”第3章:正弦波振荡器;“高频电子线路”第四章:正弦波振荡器的有关章节。 三、实验原理说明 三点式振荡器包括电感三点式振荡器(哈脱莱振荡器)和电容三点式振荡器(考毕兹振荡器),其交流等效电路如图1-1。 1、起振条件 1)、相位平衡条件:X ce 和X be 必 需为同性质的电抗,X cb 必需为异性质 的电抗,且它们之间满足下列关系: 2)、幅度起振条件: 图1-1 三点式振荡器 式中:q m ——晶体管的跨导, F U ——反馈系数, A U ——放大器的增益, LC X X X X Xc o C L ce be 1 |||| )(= -=+-=ω,即)(Au 1 * 'ie L oe m q q q Fu q ++ >

q ie——晶体管的输入电导, q oe——晶体管的输出电导, q'L——晶体管的等效负载电导, F U一般在0.1~0.5之间取值。 2、电容三点式振荡器 1)、电容反馈三点式电路——考毕兹振荡器 图1-2是基本的三点式电路,其缺点是晶体管的输入电容C i和输出电容Co对频率稳定度的影响较大,且频率不可调。 L1L1 (a)考毕兹振荡器(b)交流等效电路 图1-2 考毕兹振荡器 2)、串联改进型电容反馈三点式电路——克拉泼振荡器 电路如图1-3所示,其特点是在L支路中串入一个可调的小电容C3,并加大C1和C2的容量,振荡频率主要由C3和L决定。C1和C2主要起电容分压反馈作用,从而大大减小了C i和C o对频率稳定度的影响,且使频率可调。

通信电子线路课程总结

课程名称:通信电子线路姓名 班级 学号 老师: 时间2011-12-14

目录 课程总结及感想 0 课程分章概述 (3) 第一章绪论 (3) 第二章小信号调谐放大器 (4) 1.串联谐振回路 (4) 2.并联谐振电路 (4) 并联谐振回路的阻抗特性 (4) 3.常用阻抗变换电路 (4) 4.高频单调谐放大器 (4) 5.多级调谐放大器 (5) 6.本章总结 (5) 第三章高频功率放大器 (5) 1.窄带高频功放的工作特点 (6) 2.丙类调谐功放的组成原理及分析方法 (6) 3.调谐功放实用电路 (6) 4.宽带高频功放及功率合成 (6) 5.本章总结 (6) 第四章正弦振荡器 (7) 1.反馈振荡器的基本原理 (7) 2.三点式LC振荡器 (7) 3.石英晶体振荡器 (8) 4. 压控振荡器荡 (8) 5. 集成电路振荡器 (9) 6. RC振荡器 (9) 7.本章总结 (9) 第五章振幅调制与解调 (9) 1. 振幅调制的基本原理 (9) 2.模拟乘法器 (9) 3. 低电平调幅电路 (10)

4. 振幅检波 (10) 5.本章总结 (10) 第六章角度调制与解调 (10) 1. 调角波的性质 (10) 3.调频方法及电路 (10) 4.限幅器 (11) 5.鉴频器 (11) 6.本章小结 (11) 第七章混频 (12) 1. 概述 (12) 2. 晶体管混频器 (12) 3. 场效应管混频器 (12) 4. 混频器的干扰 (12) 5. 变频器 (12) 6.本章总结 (13) 第十章反馈控制系统 (13) 1. 自动增益控制电路(AGC) (13) 2.模拟锁相环路(APLL)及其应用 (13) 3.本章总结 (13) 课程感想(总) (14)

通信电子线路问题汇总-student_-_答案版,华工高频考试试卷,习题资料,电信大二

第一章绪论 1.调幅发射机和超外差接收机的结构是怎样的?每部分的输入和输出波形是 怎样的? 2.什么是接收机的灵敏度? 接收机的灵敏度指接受弱信号的能力。 3.无线电电波的划分,P12 例:我国CDMA手机占用的CDMA1X,800MHz 频段,按照无线电波波段划分,该频段属于什么频段? 甚低频(VLF):10~30kHz 低频(LF):30~300kHz 中频(MF):300~3000kHz 高频(HF):3~30MHz 甚高频(VHF):30~300MHz 超高频(UHF):300~3000MHz 特高频(SHF):3000~30000MHz 极高频:30~300GHz 第三章 1.什么叫通频带?什么叫广义失谐? 当回路的外加信号电压的幅值保持不变,频率改变为w=w1或w=w2时,回路电流等于谐振值的1/2w2-w1称为回路的通频带。 广义失谐

2.串联谐振回路和并联谐振回路的谐振曲线(幅度和相位)和电抗性质? 串联电抗

并联电抗 3.串联谐振回路和并联谐振回路适用于信号源内阻和负载电阻大还是小的电路? 串联谐振回路适用于信号源内阻小的和电阻不大的,并联谐振回路适用于信号源内阻大的。 4.电感抽头接入和电容抽头接入的接入系数? 5.Q 值的物理意义是什么?Q 值由哪些因素决定,其与通频带和回路损耗的关系怎样? 回路Q 值与回路电阻R 成反比,考虑信号源和负载的电阻后,Q 值下降 Q 值越高,谐振曲线越尖锐,对外加电压的选频特性越显著,回路的选择性越好,Q 与回路通频带成反比。 在串联回路中:0L S L w L Q R R R =++ ,S L R R +使回路Q 值降低,谐振曲线边钝。 在并联回路中:1()1P L P P p P L S S L Q Q R R w L G G G R R == ++++, P R 和S R 越小,L Q 值下降越多,因而回路通频带加宽,选择性变坏。 6.串联谐振电路Q 值的计算式?谐振时电容(或电感)上电压与电阻(或电源)上电压的关系是怎样的? 无负载时 001w L Q R w CR == 有负载时 0L S L w L Q R R R =++ 7.并联谐振电路有哪两种形式,相应的Q 值计算式是怎样的?谐振时电容(或电感)上电流与电阻(或电源)上电流的关系是怎样的?

通信电子线路实验报告刘紫豪

实验报告 课程名称通信电子线路 专业通信工程 班级1301 学号21 姓名刘紫豪 指导教师张鏖烽 2015年11 月10 日 实验一 OrCAD系统基本实验1、实验目的 掌握OrCAD电子设计自动化(EDA)软件的应用。 掌握基本的电子电路仿真实验方法。

2、实验环境 P4微机; OrCAD 10.5工具包。 3、实验内容 (1)实验相关的基本知识掌握 认真阅读本实验指导书的第一部分; 掌握OrCAD 10.5电子设 计自动化(EDA)软件系统 中的电子电路原理图设计包 ——Capture CIS的使用方法 和基本操作,为今后的实验 和研究作技术上的准备。 (2)给定实验内容 A. 按本实验指导书的 第一部分中介绍的方法,使 用OrCAD 10.5完成二极管限 幅电路的计算机仿真实验。 B. 利用Capture CIS为 本实验建立一个新的 PSpice项目,项目名可以自 行选取。 C. 绘制出如右图所示的给定仿真电子电路原理图,包括放置电子元器件、放置导线、放置断页连接器、修改各元器件的参数等操作。仿真电路中各元器件的参数如下表: 元件代号值仿真库备注 D1 D1N3940 DIODE.OLB D2 D1N3940 DIODE.OLB R1 1K ANALOG.OLB R2 3.3K ANALOG.OLB R3 3.3K ANALOG.OLB R4 5.6K ANALOG.OLB C1 0.47u ANALOG.OLB 0 SOURCE.OLB 零接地 V1 5V SOURCE.OLB Vin 0V SOURCE.OLB V2 SINE SOURCSTM.OLB 后面实验需要 V3 VAC SOURCE.OLB 后面实验需要 D. 完成本电路的偏置点分析参数设置(参见本指导书的6.2.1节),运行该偏置点分析,将其仿真结果(图)拷贝作为实验结果;

通信电子线路实验三

实验三、正弦波振荡器 一、实验目的 (1)观察LC振荡器的产生和稳定过程。 (2)观察电容和电感三点式振荡器的谐振频率。 (3)研究影响震荡频率的主要因素。 二、实验说明和内容 LC振荡器振荡应满足两个条件。 1)相位平衡条件,反馈信号与输入信号同相,保证电路正反馈。 2)振幅平衡条件,反馈信号的振幅应该大于或者等于输入信号的振幅,即: ||1 AF 其中,A为放大倍数,F为反馈系数 1.电容反馈式三端振荡器 1)仿真如图1所示: 图1 2)示波器相关参数设置如下图所示。 3)仿真开始后,观察振荡波形图(可能需要数分钟)。

注意:当波形图趋于稳定后,将触发器设置为单次。将通道1和通道2分别拖至如下图所示。 问题: 1、双击示波器,其中“时间”、“通道A”和“通道B”下面的参数分别指的是? 解:“时间”指电路工作的时间;“通道A”指输入端的电压值;“通道B”指输出端的电压 2、双击光谱分析仪,将其移动到最大值,此时,测的数据是指? 解:此时的最大值表示电压的平均值。 将测量值和理论值填入下表: 实验数据与理论值间的差异分析: 1.电路元件的性能,测量仪器的精度; 2.电路结构引入的误差,如旁路电容; 3.分析电路是对电路的简化。

。 另外,要求分别利用频率计和万用表测量电容三点式的振荡器振荡频率和振荡电压幅度值。

2、电感反馈式三端振荡器 1)仿真电路如图2所示: 图2 2)示波器相关参数设置如下图所示。 3)仿真开始后,观察振荡波形图(可能需要数分钟)。 注意:当波形图趋于稳定后,将触发器设置为单次。将通道1和通道2分别拖至如下图所示。

相关文档
最新文档