1602lcd显示的秒表(1)

1602lcd显示的秒表(1)
1602lcd显示的秒表(1)

目录

1概述 (1)

1.1课题的研究意义和目的 (1)

2方案论证 (1)

2.1 STC89C52主要功能特性 (1)

2.2系统分析 (3)

3硬件系统的设计 (3)

3.1硬件介绍 (3)

3.2部分硬件原理图 (4)

3.3最小单片机系统 (5)

4系统的软件设计 (5)

5软硬件联调 (7)

5.1正面图 (7)

5.2反面接线 (8)

5.3测试结果 (8)

结束语 (9)

参考文献 (9)

附录 (10)

附录1 protel原理图 (10)

附录2 PCB图 (11)

附录3 protues仿真图 (12)

附录4 程序清单 (12)

附录5元器件清单 (17)

1概述

1.1课题的研究意义和目的

1、通过本实验的设计初步了解单片机工作原理和各功能端口的相关设置;

2、掌握PROTEUS软件的安装和配置过程;

3、学会绘制电路原理图;

4、了解装载程序和调试;

5、PROTEUS VSM 与uVision3的联调;

6、用单片机仿真软件,并进行调试;

7、掌握单片机相应的编程步骤,了解秒表相关的工作流程;

8、熟悉KEIL\PROTEUS等相关软件的使用。

2方案论证

2.1 STC89C52主要功能特性

1、兼容MCS51指令系统

2、8k可反复擦写(大于1000次)Flash ROM;

3、32个双向I/O口;

4、256x8bit部RAM;

5、3个16位可编程定时/计数器中断;

6、时钟频率0-24MHz;

7、2个串行中断,可编程UART串行通道;

8、2个外部中断源,共8个中断源;

9、2个读写中断口线,3级加密位;

10、低功耗空闲和掉电模式,软件设置睡眠和唤醒功能;

11、有PDIP、PQFP、TQFP及PLCC等几种封装形式,以适应不同产品的需求。

STC89C52为8 位通用微处理器,采用工业标准的C51核,在部功能及管脚排布上与通用的8xc52 相同,其主要用于会聚调整时的功能控制。功能包括对会聚主IC 部寄存器、数据RAM及外部接口等功能部件的初始化,会聚调整控制,会聚测试图控制,红外遥控信号IR的接收解码及与主板CPU通信等。主要管脚有:XTAL1(19 脚)和XTAL2(18 脚)为振荡器输入输出端口,外接12MHz 晶振。RST/Vpd(9 脚)为复位输入端口,外接电阻电容组成的复位电路。VCC(40 脚)和VSS(20 脚)为供电端口,分别接+5V电源的正负端。P0~P3 为可编程通用I/O 脚,其功能用途由软件定义,在本设计中,P0 端口(32~39 脚)被定义为N1 功能控制端口,分别与N1的相应功能管脚相连接,13 脚定义为IR输入端,10 脚和11脚定义为I2C总线控制端口,分别连接N1的SDAS(18脚)和SCLS(19脚)端口,12 脚、27 脚及28 脚定义为握手信号功能端口,连接主板CPU 的相应功能端,用于当前制式的检测及会聚调整状态进入的控制功能。

P0 口:P0 口是一组8 位漏极开路型双向I/O 口,也即地址/数据总

Flash 存储器编程期间,该引脚还用于输入编程脉冲(PROG)。如有必要,可通过对特殊功能寄存器(SFR)区中的8EH 单元的D0 位置位,可禁止ALE 操作。该位置位后,只有一条MOVX 和MOVC指令才能将ALE 激活。此外,该引脚会被微弱拉高,单片机执行外部程序时,应设置ALE 禁止位无效。

PSEN:程序储存允许(PSEN)输出是外部程序存储器的读选通信号,当AT89C52 由外部程序存储器取指令(或数据)时,每个机器周期两次PSEN 有效,即输出两个脉冲。在此期间,当访问外部数据存储器,将跳过两次PSEN信号。

EA/VPP:外部访问允许。欲使CPU 仅访问外部程序存储器(地址为0000H—FFFFH),EA 端必须保持低电平(接地)。需注意的是:如果加密位LB1 被编程,复位时部会锁存EA端状态。如EA端为高电平(接Vcc 端),CPU 则执行部程序存储器中的指令。Flash 存储器编程时,该引脚加上+12V 的编程允许电源Vpp,当然这必须是该器件是使用12V 编程电压Vpp。

XTAL1:振荡器反相放大器的及部时钟发生器的输入端。

XTAL2:振荡器反相放大器的输出端。

2.2系统分析

设计的电路主要是能多次记时和查询时间,记时的多少通过显示电路显示出来,每一次计时可以通过控制电路查询出来。设计框图如图2-1所示;

图2-1

3硬件系统的设计

3.1硬件介绍

根据设计要求和设计思路,硬件电路有两部分组成,即单片机按键电路,LCD 显示器电路。图2-2 为硬件电路设计框图。

按键电路STC89C

52

LCD显示电路图2-2

系统硬件电路根据课题设计要求,它由以下几个部件组成:单片机89C52RC、电源、时分秒显示模块。

时分秒显示采用动态扫描,以降低对单片机端口数的要求,同时也降低系统的功耗。显示模块中时分秒显示驱动、校时模块都通过89C52RC的I/O口控制。显示模块中的复位电路由89C52RC的RESET端控制。

电源部分:电源部分有二部分组成。一部分是由220V的市电通过变压、整流稳压来得到+5V电压,维持系统的正常工作;另一部分是由5V的电池供电,以保证停电时正常走时。正常情况下电池是不提供电能的,以保证电池的寿命。

3.2部分硬件原理图

3.2.1STC89C52管脚图

如图3-1所示:

图3-1

3.2.2 1602LCD液晶硬件图

如图3-2所示:

图3-2

3.3最小单片机系统

如图3-3所示:

C1

30pF

C2

30pF

C3

10uF

X1

11.0592M

R1

10k

图3-3

4系统的软件设计

此部分主要介绍显示模块,显示模块是实现数字钟的重要部分,在显示时,首先将时间十进制数据转化为显示段码,然后送往液晶显示。显示段码采用动态扫描的方式。在要求改变显示数据的类别时,只须改变R1(指向数据缓冲区的指针)指向的十进制数据缓冲区即可。如图4-1所示:

1602液晶字符显示

1.基本简介 LCD1602工业字符型液晶,能够同时显示16x02即32个字符。(16列2行) 1602液晶也叫1602字符型液晶,它是一种专门用来显示字母、数字、符号等的点阵型液晶模块。它由若干个5X7或者5X11等点阵字符位组成,每个点阵字符位都可以显示一个字符,每位之间有一个点距的间隔,每行之间也有间隔,起到了字符间距和行间距的作用,正因为如此所以它不能很好地显示图形(用自定义CGRAM,显示效果也不好)。 1602LCD是指显示的内容为16X2,即可以显示两行,每行16个字符液晶模块(显示字符和数字)。 目前市面上字符液晶绝大多数是基于HD44780液晶芯片的,控制原理是完全相同的,因此基于HD44780写的控制程序可以很方便地应用于市面上大部分的字符型液晶。2.管脚功能 1602采用标准的16脚接口,其中: 第1脚:VSS为电源地 第2脚:VCC接5V电源正极 第3脚:V0为液晶显示器对比度调整端,接正电源时对比度最弱,接地电源时对比度最高(对比度过高时会产生“鬼影”,使用时可以通过一个10K的电位器调整对比度)。 第4脚:RS为寄存器选择,高电平1时选择数据寄存器、低电平0时选择指令寄存器。 第5脚:RW为读写信号线,高电平(1)时进行读操作,低电平(0)时进行写操作。 第6脚:E(或EN)端为使能(enable)端,高电平(1)时读取信息,负跳变时执行指令。 第7~14脚:D0~D7为8位双向数据端。 第15~16脚:空脚或背灯电源。15脚背光正极,16脚背光负极。 ⑶特性 3.3V或5V工作电压,对比度可调 内含复位电路 提供各种控制命令,如:清屏、字符闪烁、光标闪烁、显示移位等多种功能 有80字节显示数据存储器DDRAM

数字秒表设计EDA课设报告

北华航天工业学院 《EDA技术综合设计》 课程设计报告 报告题目:数字秒表设计 作者所在系部:电子工程系 作者所在专业:自动化 作者所在班级: B08221 作者姓名:赵天娇 指导教师姓名:崔瑞雪 完成时间: 2010年12月1日

内容摘要 EDA技术是电子设计技术和电子制造技术的核心,目前,电子系统的EDA 技术正从主要着眼于数字逻辑向模拟电路和数模混合电路的方向发展。 本设计主要内容是数字逻辑电路——数字秒表,数字秒表在日常生活中有广泛的用途,秒表的逻辑结构较简单,它主要由显示译码器、十进制计数器、六进制计数器和报警器组成。四个10进制计数器:用来分别对百分之一秒、十分之一秒、秒和分进行计数;两个6进制计数器:用来分别对十秒和十分进行计数;显示译码器:完成对显示的控制。根据电路持点,用层次设计概念将此设计任务分成若干模块,规定每一模块的功能和各模块之间的接口,然后再将各模块合起来联试。 通过MAX+plusⅡ软件,对上述模块设计,仿真无误后,设计顶层文件,仿真无误后,下载到主芯片EPF10K10LC84-4中,按适配划分后的管脚定位,同相关功能块硬件电路接口连线,进行硬件实验。 EPF10K10LC84-4是Altera公司生产的FLEX10K系列可编程逻辑器件。主要采用了嵌入式阵列,容量高达百万门,为可重复配置的CMOS SRAM工艺,系统工作过程中可随时改变配置,有利于现场编程,完成秒表设计的修改于完善。 关键词 EDA、可编程逻辑器件、计数器、显示器

目录(字体?) 一、概述 (1) 二、实验目的 (1) 三、单元模块设计 (1) 1十进制计数器 (1) 2.六进制计数器 (2) 3.时间数据分时扫描模块 (3) 4.显示译码模块 (4) 5.报警电路模块 (6) 四、顶层文件原理图 (7) 五、硬件要求 (8) 六、实验连线 (8) 七、实验总结 (8) 八、心得体会 (9) 九、参考文献 (10)

1602LCD显示的秒表 C语言程序

源程序代码: //名称:用1602LCD设计的秒表 //说明:首先按下K1键时开始计时,自此按下时暂停,第三次按下时继续累积计时,再次按下时停止计时,K2键用于清零秒表。 // #include #include #define uchar unsigned char #define uint unsigned int #define delayNOP ( ) ﹛_nop_( ); _nop_( ); _nop_( ); _nop_( );﹜ //LCD控制函数 void LCD_Initialize( ); void LCD_Set_POS(uchar); void LCD_Write_Date(uchar); void Display_String(uchar﹡,uchar); sbit K1 = P1^0; sbit K2 = P1^1; sbit BEEP = P3^0; sbit LCD_RS = P2^0; sbit LCD_RW = P2^1; sbit LCD_EN = P2^2; uchar KeyCount = 0; uchar code msg1[] = ﹛〞Second Watch 0 〞﹜; uchar code msg2[] = ﹛〞>>>> 0 〞﹜; uchar code Prompts[] [16] = { ﹛〞:: 1- - - - > 〞﹜,

﹛〞:: 1- - - - > ::2 〞﹜, ﹛〞:: 1 - >2 ::3- - > 〞﹜, ﹛〞:: 1 - >2 ::3- - >4 〞﹜ }; // 计时缓冲与显示缓冲 uchar Time_Buffer[] ={0,0,0,0}; uchar LCD_Display_Buffer[]={〞00: 00: 00:00〞}; // // 蜂鸣器 // void Beep () ﹛ uchar i,j = 70; for (i = 0;i< 180;i++ ) ﹛ while(--j);BEEP = ~BEEP; ﹜ BEEP = 0; ﹜ // // 延时 // void DelayX(uint ms) { uchar i; while(ms--) for (i= 0;i< 120;i++); ﹜ // // 显示计时

电子秒表使用说明

电子秒表使用说明 Company number:【0089WT-8898YT-W8CCB-BUUT-202108】

正品深圳君斯达JS-601金属秒表运动秒表2道记忆1/100秒萤幕显示 双道记忆、1/100秒萤幕显示 日历及时间显示(12/24小时转换) 定闹及整点报闹 金属外壳 LR44电池 Size:77×× 君斯达牌多功能系列秒表使用说明书 秒表计时: 按3号键直接秒表显示,如果秒表显示为零,按1号键停止计时,按2号键复位到零 1、秒表计时 按1号键开始计时,再按1号键停止计时(重复按1号键,重得开始/停止),按2号键复位到零 2、分段计时 按1号键开始计时,按2号键记下前段时间(注:秒表在计下前段时间时,表内

部仍在计时),再按2号键,在累加时间的基础上恢复走时(每次分段重复按2号键两次),按1号键复位到零 3、二段计时 按1号键开始计时,按2号键显示第一段时间;按1号键停止计时,按2号键显示第二段时间;再按2号键复位到零 二、时间,日历,响闹显示 按3号键直至显示正常走时,按1号键显示月,日和星期,按2号键显示响闹时间,同时按住1号键和2号键响闹取消/保持 三、设置时间和日历 在正常走时状态按3号键三次,正常走时闪烁,这样进入了时间设置方式,按1号键置(按住不动,快数置数),按2号键选择秒,分,时,日,月,星期 (A/P为12小时制,A为上午,P为下午,H为24小时制)作为调校对象,调校完毕,按3号键回到时间显示方式。 四、设置响闹 在正常走时关态按3号键两次,时和星期同时闪烁,这样进入响闹设置方式;按2号键先择分和小时,按1号键改变分和小时数字,按3号键回到时间显示。在正常走时关态,按住2号键,同时按1号键,定闹符号出现/消失,定闹取消/保持,同时按3号键,每小时报点符号,星期日至星期六,七个字符出现/消失,每小时报点保持/取消

1602液晶字符显示

1602液晶字符显示

1.基本简介 LCD1602工业字符型液晶,能够同时显示16x02即32个字符。(16列2行) 1602液晶也叫1602字符型液晶,它是一种专门用来显示字母、数字、符号等的点阵型液晶模块。它由若干个5X7或者5X11等点阵字符位组成,每个点阵字符位都可以显示一个字符,每位之间有一个点距的间隔,每行之间也有间隔,起到了字符间距和行间距的作用,正因为如此所以它不能很好地显示图形(用自定义CGRAM,显示效果也不好)。 1602LCD是指显示的内容为16X2,即可以显示两行,每行16个字符液晶模块(显示字符和数字)。 目前市面上字符液晶绝大多数是基于HD44780液晶芯片的,控制原理是完全相同的,因此基于HD44780写的控制程序可以很方

便地应用于市面上大部分的字符型液晶。 2.管脚功能 1602采用标准的16脚接口,其中: 第1脚:VSS为电源地 第2脚:VCC接5V电源正极 第3脚:V0为液晶显示器对比度调整端,接正电源时对比度最弱,接地电源时对比度最高(对比度过高时会产生“鬼影”,使用时可以通过一个10K的电位器调整对比度)。 第4脚:RS为寄存器选择,高电平1时选择数据寄存器、低电平0时选择指令寄存器。 第5脚:RW为读写信号线,高电平(1)时进行读操作,低电平(0)时进行写操作。 第6脚:E(或EN)端为使能(enable)端,高电平(1)时读取信息,负跳变时执行指令。 第7~14脚:D0~D7为8位双向数据端。 第15~16脚:空脚或背灯电源。15脚背光正极,16脚背光负极。 ⑶特性 3.3V或5V工作电压,对比度可调

数电课设 数字秒表的设计仿真

##大学 ##学院 数字电子技术课程设计 课程名称:数字电子技术基础 题目名称:数字电子秒表设计 学生系别:信息工程系 专业班级: 学号: 学生姓名: 指导教师: ..年..月..日

目录 一、设计要求 (3) 二、题目分析 (3) 三、总体方案 (3) 四、具体实现 (4) 1、总体方框图 (4) 2、原理图 (4) 如下图所示: (4) 五、各部分定性说明及定量计算 (5) 1、脉冲发生器(由555构成的多谐振荡器) (5) 2、计数器(74LS90) (7) 3、七段发光二极管(LED)数码管 (8) 4、BCD码七段译码驱动器——CC4511 (9) 六、实验仿真 (11) 七、元器件清单 (11) 八、设计心得体会 (12) 九、参考文献 (12)

数字秒表的设计与仿真 一、设计要求 设计并仿真一个数显电子秒表,要求: (1)能直接显示“分”、“秒”的电子秒表; (2)要求最大能显示9ˊ59〞; (3)能通过按键启动计时,并能通过按键停止计时,并保留显示计时时间; (4)能通过按键复位。 主要器件: 74LS00、555、74LS90、CC4511 二、题目分析 数字秒表是是一种常用的秒计时装置,它能实现手控记秒、停摆、清零功能,它的设计原理就是利用数字逻辑中的知识。 通过对该数字秒表的设计要求的分析,设计的此数字秒表主要由分频器、译码器、十进制计数器、六十进制计数器、控制电路组成。在整体秒表中最关键的如何获得一个精确的100HZ计时脉冲。除此之外,数字秒表还需要有清零控制端以及启动控制端,保持,以便数字时钟能随意、停止及启动。分频器用来产生100HZ计时脉冲;十进制计数器:对分进行计数;六十进制计数器是用来对秒进行计时,显示译码器是完成对7段数码管显示的控制。 按计数要求,须用数码管来做显示器,题目要求最大能显示9ˊ59〞,需要三个数码管,超过最大显示的数字要重新从0开始计数。 复位开关用来使计时器清零,并做好清零准备,复位开关可以在任意情况下使用,即使在计数过程中,只要按一下复位开关,计时进程终止,并对计时器清零。 三、总体方案 数字秒表,必须有一个数字显示。按设计要求,须用数码管来做显示器,题目要求最大能显示9ˊ59〞,则需要三个数码管。计数分辨率为1s,需要相应的信号发生器,选择信号发生器有两种方案: Ⅰ用晶体振荡器; Ⅱ用集成电路555计时器与电阻电容组成的多谐振荡器。 两者都可以产生振荡频率,我们选用方案Ⅱ,因为其核心部分是使用三个74LS90计数器采用串联方式构成,并且这种连接方式简单,使用元器件数量少。

1602显示秒表

/**********************BST-V51实验开发板例程************************ * 平台:BST-V51 + Keil U3 + STC89C52 * 名称:1602显示秒表 ****************************************************************** * * * 描述:* * * * 上电后液晶屏先显示信息,接着按下K3,定时开始,再次按下* * * * K3暂停,第3次按下显示累积计时,第4次按下暂停计时,任何时候按下K4* * * * 计数清零。* * * * * ************************************************************************/ #include #include #define uchar unsigned char #define uint unsigned int uchar KeyCount=0; sbit K3 = P3^6; sbit K4 = P3^7; sbit BEEP = P2^3; //蜂鸣器 uchar code cdis1[ ] = {" STOPWA TCH 0 "}; uchar code cdis2[ ] = {" BST-V51 "}; uchar code cdis3[ ] = {"TIME "}; uchar code cdis4[ ] = {" BEGIN COUNT 1 "}; uchar code cdis5[ ] = {" PAUSE COUNT 2 "}; uchar code cdis6[ ] = {" BEGIN COUNT 3 "}; uchar code cdis7[ ] = {" PAUSE COUNT 4 "}; uchar code cdis8[ ] = {" "}; sbit LCD_RS = P1^0; sbit LCD_RW = P1^1; sbit LCD_EN = P2^5;

多功能数字计时器设计报告

多功能数字计时器 设计报告

电工电子 综合实验报告 题目:多功能数字计时器设计 目录 1.实验内容简介

2.电路设计要求 3.电路原理简介 4. 单元电路设计 4.1 秒信号发生电路 4.2 计时电路 4.3 开机清零电路 4.4 校分电路 4.5 报时电路 5.总电路图 6.附加电路--起停电路 7.实验感想 8.附录 8.1 元件清单 8.2 芯片引脚图和功能表 9.参考文献 1.实验内容简介 本设计采用中小规模集成电路,要求设计一个数字计时器,能够完成0分00秒到9分59秒得计时功能,并在控制电路的作用下具有开机清零,快速校分,整点报时功能。 2.实验内容

1.设计一个脉冲发生电路,为计时器提供秒脉冲、为报时电路提供驱动蜂鸣器的脉冲信号。 2.设计一个计时电路,完成0分00秒~9分59秒的计时功能。3.设计报时电路,使数字计时器从9分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1kHz),9分59秒发高音(频率2kHz) 4.设计校分电路,在任何时候,拨动校分开关,可进行快速校分。 5.设计清零电路,具有开机自动清零功能,而且在任何时候,按动清零开关,能够进行计时器清零。 6.系统级联调试,将以上电路进行级联完成计时器的所有功能。7.可增加数字计时器附加功能,例如数字计时器定时功能、电路起停功能、电路采用动态显示等。 3.电路原理简介 数字计时器由计时电路、译码显示电路、脉冲发生电路、校分电路、清零电路和报时电路这几部分组成。其原理框图如下:

4.单元电路设计 一.秒信号发生器 秒信号发生器提供计时电路的时钟并为报时电路提供驱动信号。为提供较为精确的秒脉冲信号,采用32768Hz的石英晶体多谐振荡器作为脉冲信号源。分频器CD4060最高可实现214分频,即最低频率端Q14的脉冲信号频率为2Hz,因此增加一个D触发器实现的倍频器来产生1Hz的秒脉冲信号。将D触发器的Q端与D端扭接在一起实现倍频器,则Q端的输出信号即为1Hz的秒脉冲信号。报时电路所需要的1KHz,2 KHz的脉冲信号由4060的管脚Q4和管脚Q5提供。

C51实验程序(流水灯、矩阵键盘、动态显示、串行口、1602液晶)

#include #define uchar unsigned char #define uint unsigned int sbit key=P1^0;//按键接P1.0 void DelayMS(uint X)//延时1ms子程序{uchar t; while(X--) {for(t=120;t>0;t--);} } void main()//主函数 {uchar i; P2=0x01; DelayMS(500); //此处延时为了从最低位开始点亮可见while(1) { if(key==0)//若按键口为高电平 { for(i=7;i>0;i--)//循环左移7次 {P2=_crol_(P2,1); DelayMS(500);} for(i=7;i>0;i--)//循环右移7次 {P2=_cror_(P2,1); DelayMS(500);} } else //若按键接口为电平 { for(i=7;i>0;i--)//隔灯左移次数视情况而定{P2=_crol_(P2,2); DelayMS(500);} for(i=7;i>0;i--)//隔灯右移次数视情况而定{P2=_cror_(P2,2); DelayMS(500);} } } }

#define uchar unsigned char #define uint unsigned int uchar code DSY_CODE[]= {0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71}; uchar Pre_KeyNO=16,KeyNO=16; void DelayMS(uint ms) {uchar t; while(ms--) { for(t=0;t<120;t++); } } void Keys_Scan() { uchar Tmp; P1=0x0f; DelayMS(1); Tmp= P1 ^ 0x0f; switch(Tmp) { case 1: KeyNO=0;break; case 2: KeyNO=1;break; case 4: KeyNO=2;break; case 8: KeyNO=3;break; default: KeyNO=16; } P1=0xf0; DelayMS(1); Tmp=P1>>4^0x0f; switch(Tmp) { case 1: KeyNO+=0;break; case 2: KeyNO+=4;break; case 4: KeyNO+=8;break; case 8: KeyNO+=12;break; } } void main() { P0=0x00; while(1) { P1=0xf0; if(P1!=0xf0) Keys_Scan();

电子秒表课程设计

电子秒表课程设计报告 目录 一、设计要求 (2) 二、设计的目的与作用 (2) 三、设计的具体体现 (2) 1. 电子秒表的基本组成 (3) 2.电子秒表的工作原理 (3) 3.电子秒表的原理图 (4) 4. 单元电路设计 (4) 5.设计仿真与PCB制版 (12) 四、心得体会 (17) 五、附录 (18) 六、参考文献 (20)

一、设计要求 1.以0.01秒为最小单位进行显示。 2.秒表可显示0.01~59:59:99秒的量程。 3.该秒表具有清零、开始计时、停止计时功能。 二、设计方案 方案一:通过单片机来实现电子秒表 基于51单片机电子秒表,设计简单,而且技术准确,缺点是价格相比于数字电路实现的秒表技术要昂贵。 方案二:采用数字电路来实现秒表计数,优点是价格便宜,计数精确,反应较快,缺点是,电路芯片较多,设计电路复杂。 经过比较选择了较为经济适用的数字电路。 二、设计的目的与作用 1.培养我们运用有关课程的基础理论和技能解决实际问题,并进一步提高专业基本技能、创新能力。通过课程设计,学习到设计写作方法,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计和研究成果。 2. 熟悉555方波振荡器的应用。 3.熟悉计数器的级联及计数、译码、显示电路的整体配合。

4.建立分频的基本概念。 三、设计的具体体现 1.电子秒表的基本组成 电子秒表电路的基本组成框图如图所示,它主要由基本RS 触发器、多谐振荡器、计数器和数码显示器4个部分组成。 电子秒表电路的基本组成(方框图)如下: 图(1)电子秒表基本组成方框图 2.电子秒表的工作原理 由555定时器构成多谐振荡器,用来产生50Hz 的矩形波。第Ⅰ块计数器作5分频使用,将555输来的50Hz 的脉冲变为0.1秒的计数脉冲,在输出端Qd 取得,作为第2块计数器的始终输入,第2、第3块计数器QA 与CP2相连,都已接成8421码十进 基本RS 触发器 多谐振荡器 单稳态触发器 计数器 译码显示器

1602lcd显示的秒表(1)

1602lcd显示的秒表(1)

目录

7、2个串行中断,可编程UART串行通道; 8、2个外部中断源,共8个中断源; 9、2个读写中断口线,3级加密位; 10、低功耗空闲和掉电模式,软件设置睡眠和唤醒功能; 11、有PDIP、PQFP、TQFP及PLCC等几种封装形式,以适应不同产品的需求。 STC89C52为8 位通用微处理器,采用工业标准的C51内核,在内部功能及管脚排布上与通用的8xc52 相同,其主要用于会聚调整时的功能控制。功能包括对会聚主IC 内部寄存器、数据RAM及外部接口等功能部件的初始化,会聚调整控制,会聚测试图控制,红外遥控信号IR的接收解码及与主板CPU通信等。主要管脚有:XTAL1(19 脚)和XTAL2(18 脚)为振荡器输入输出端口,外接12MHz 晶振。RST/Vpd(9 脚)为复位输入端口,外接电阻电容组成的复位电路。VCC(40 脚)和VSS(20 脚)为供电端口,分别接+5V电源的正负端。P0~P3 为可编程通用I/O 脚,其功能用途由软件定义,在本设计中,P0 端口(32~39 脚)被定义为N1 功能控制端口,分别与N1的相应功能管脚相连接,13 脚定义为IR输入端,10 脚和11脚定义为I2C总线控制端口,分别连接N1的SDAS(18脚)和SCLS(19脚)端口,12 脚、27 脚及28 脚定义为握手信号功能端口,连接主板CPU 的相应功能端,用于当前制式的检测及会聚调整状态进入的控制功能。 P0 口:P0 口是一组8 位漏极开路型双向I/O 口,也即地址/数据总线复用口。作为输出口用时,每位能吸收电流的方式驱动8 个TTL逻辑门电路,对端口P0 写“1”时,可作为高阻抗输入端用。在访问外部数据存储器或程序存储器时,这组口线分时转换地址(低8 位)和数据总线复用,在访问期间激活内部上拉电阻。在Flash 编程时,P0 口接收指令字节,而在程序校验时,输出指令字节,校验时,要求外接上拉电阻。 P1 口:P1 是一个带内部上拉电阻的8 位双向I/O 口,P1 的输出缓冲级可驱动(吸收或输出电流)4 个TTL 逻辑门电路。对端口写“1”,通过内部的上拉电阻把端口拉到高电平,此时可作输入口。作输入口使用时,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出一个电流(IIL)。与AT89C51 不同之处是,P1.0 和P1.1 还可分别作为定时/计数器2 的外部计数输入(P1.0/T2)和输入(P1.1/T2EX),Flash 编程和程序校验期间,P1 接收低8 位地址。表.P1.0和P1.1的第二功能

多功能数字计时器设计报告

精心整理 电工电子 综合实验报告 1. 2. 3. 4. 4.14.24.34.44.55.6.附加电路--起停电路 7.实验感想 8.附录 8.1元件清单

8.2芯片引脚图和功能表 9.参考文献 1.实验内容简介 本设计采用中小规模集成电路,要求设计一个数字计时器,可以完成0分00秒到9分59秒得计时功能,并在控制电路的作用下具有开机清零,快速校分,整点报时功能。 2 1 2 3 ),9分 4 5. 6 7 用动态显示等。 3.电路原理简介 数字计时器由计时电路、译码显示电路、脉冲发生电路、校分电路、清零电路和报时电路这几部分组成。其原理框图如下: 4.单元电路设计

一.秒信号发生器 秒信号发生器提供计时电路的时钟并为报时电路提供驱动信号。为提供较为精确的秒脉冲信号,采用32768Hz的石英晶体多谐振荡器作为脉冲信号源。分频器CD4060最高可实现214分频,即最低频率端Q14的脉冲信号频率为2Hz,因此增加一个D触发器实现的倍频器来产生1Hz的秒脉冲信号。将D触发器的Q端与D端扭接在 1KHz, 2KHz )的EN 的跳 和2Q3 作 实现。 显示电路采用三片CD4511显示译码器和三个七段共阴显示字,电路从0分00秒计到9分59秒,译码显示电路用三片四线七线译码器CD4511进行译码,而采用共阴极七段LED数码管进行循环显示。CD4511的输入接到相应计数器的输出,而它的输出端与数码管的相应端相连,数码管通过300的电阻接地。 三.开机清零电路

该电路具有开机清零和控制清零功能。其中秒个位和分位的清零端即CC4518的管脚7和15(高电平有效)接在第一个非门之后,秒十位74LS161的清零端即管脚1(低电平有效)接在第二个非门之后。刚开机时,由于电容上的电压不能突变,电容两端为低电平,经过第一个非门输出高电平,接到CC4518的管脚7和15,实现秒个位和分位的清零。在经过第二个非门输出低电平,接到74LS161的管脚1,实现秒 4518 2被选通,“0” 用需要报时的时刻所对应的计数器的输出作为触发信号来驱动蜂鸣器报时,因为需要在9分53秒、9分55秒、9分57秒各报出一个低音,在9分59秒报出一个高音。具体设计过程如下: 将各时刻各位对应的二进制码作如下图的比较:

基于FPGA的LCD1602动态显示

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity lcdplay is port(clk,reset,lcdopen:in std_logic; lcd_on,lcd_en,lcd_rw:out std_logic; LCD_Data:out std_logic_vector(8 downto 0) ); end lcdplay; architecture zw of lcdplay is signal clk1hz:std_logic; --signal cnt2:std_logic_vector(4 downto 0); type statetype is(s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12,s13,s14,s15,s16,s17,s18,s19,s20,s21,s22,s23); signal pstate,nstate:statetype; begin lcd_on<=lcdopen; process(clk) variable cnt1:integer range 0 to 4999999; begin if rising_edge(clk) then if cnt1=4999999 then cnt1:=0; else cnt1:=cnt1+1; end if; if cnt1<2500000 then clk1hz<='1'; else clk1hz<='0'; end if; lcd_en<=clk1hz; end if; end process; --process(clk1hz) -- begin -- if Reset='0'then -- cnt2<="00000"; --- elsif rising_edge(clk1hz) then -- if cnt2<"10000" then

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

带有LCD显示的音乐倒数计时器

信息工程学院 课程设计报告书题目: 带有LCD显示的音乐倒数计时器 专业:计算机科学与技术 日

近年来随着科技的飞速发展,单片机的应用正在不断地走向深入,同时带动传统控制系统日新月益更新。单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本次课程设计的项目是带有LED显示的音乐倒数计时器。主体使用89C52,通过几个开关进行控制,其中开关K1用于切换时间设置状态和时钟运行状态;开关K2用于切换修改时间数值;开关K3用于使相应数值加1调节;开关K4用于减1调节;开关K5用于设定倒计时时间,并且设定好后到时间通过实验箱音频放出一段乐曲作为闹铃。 选做增加项目:还可增加秒表功能(精确到0.01s)或年月日设定功能 关键词:单片机课程项目计时器 89C52

摘要 ..................................................................................................................................... I 1前言 (1) 1.1课题开发背景 (1) 1.2课题研究的目的和意义 (1) 1.3课题的主要研究工作 (1) 2 系统硬件设计及说明 (3) 2.1系统组成及总体框图 (3) 2.2部分硬件方案论述 (3) 2.3硬件电路图 (4) 2.4元件清单 (4) 2.4.1 AT89C52 (4) 2.4.2 LCD1602 (5) 2.4.3按键控制单元 (6) 2.4.4 SPEAKER (7) 3系统软件设计 (8) 3.1系统总体功能流程图 (8) 3.2使用单片机实现音乐节拍 (10) 3.3使用单片机产生音频脉冲 (11) 3.4使用定时器实现定时功能 (12) 3.5程序描述 (12) 4总结与展望 (15) 参考文献 (16)

多功能计时器-课程设计

信息工程学院课程设计报告书题目: 多功能计时器 专业:电子信息科学学技术 班级: 学号: 学生姓名: 指导教师: 2012 年 12 月 24 日

信息工程学院课程设计任务书 年月日

信息工程学院课程设计成绩评定表

摘要 此多功能计时器是基于741s48、74ls192、555定时器、CD40161设计的,由六个主要部分组成,即控制电路、秒脉冲发生器、计数器、译码显示器、置数电路以及声光报警电路,包含置数(00至99)、1s倒计时、开启、暂停、连续、清零以及到点声光报警等主要功能,也能完成一个完整的系统过程,可用于各种竞赛计时,交通灯系统,及报警装置。计时范围为00至99,可智能控制。 关键词:多功能计时器、1s倒计时、连续、声光报警

目录 目录 (4) 1 任务提出与方案论证 (5) 1.1 任务提出 (5) 1.2 方案论证 (5) 2 总体设计 (6) 2.1 总体框图 (6) 2.2 总体电路 (7) 3 详细设计 (8) 3.1秒脉冲发生器 (8) 3.2译码计时电路 (10) 3.3控制电路 (13) 3.3.1总开关 (13) 3.3.2单刀双掷开关 (13) 3.4反馈电路 (14) 3.5报警电路 (14) 3.6置数电路: (15) 4 总结 (17) 5 参考文献 (18)

1 任务提出与方案论证 1.1 任务提出 设计一种多功能计时器,要求实现以下功能: 置数、1s倒计时、开启、暂停、连续、清零以及到点声光报警,计时范围为00至99,可智能控制。能任意定时,开启和暂停及清零,1秒的准确延时,及到点声光报警。 1.2 方案论证 秒脉冲发生器:可以选用晶振产生,或者用555定时器或者555与CD40161同时产生,为了实现反馈,让计时器计数到零时停止,我选用CD40161 ,即实现了1s计数有可以形成反馈。译码电路:我选用4线-七段译码器/驱动器74LS48来实现。 计时电路:我选用十进制可逆计数器74LS48 ,可以用来置数,同时也可以来产生减计数。控制电路:用按键和反馈来实现。 报警电路:用speaker和led来实现。 置数电路:用单刀双掷开关选通74ls48的置数端,通过置0或置1来控制。

EDA实验报告-数字秒表

EDA实验报告 数字秒表的设计 指导老师:谭会生 班级:电技1503 学号: :博 交通工程学院 2017.10.28

实验二数字秒表电路的设计 一、实验目的 1.学习Quartus Ⅱ软件的使用方法。 2.学习GW48系列或其他EDA实验开发系统的基本使用方法。 3.学习VHDL程序的基本结构和基本语句的使用。 二、实验容 设计并调试一个计时围为0.01s~1h的数字秒表,并用GW48系列或其他EDA实验开发系统进行硬件验证。 三、实验要求 1.画出系统的原理框图,说明系统中各主要组成部分的功能。 2.编写各个VHDL源程序。 3.根据系统的功能,选好测试用例,画出测试输入信号波形或编好测试程序。 4.根据选用的EDA实验开发装置偏好用于硬件验证的管脚锁定表格或文件。 5.记录系统仿真,逻辑综合及硬件验证结果。 6.记录实验过程中出现的问题及解决方法。 四、实验条件 1.开发软件:Quartus Ⅱ13.0. 2.实验设备:GW48系列EDA实验开发系统。 3.拟用芯片:EP3C55F484C7 五、实验设计 1.设计思路 要设计一个计时为0.01S~1h的数字秒表,首先要有一个比较精确的计时基准信号,这里是周期为1/100s的计时脉冲。其次,除了对每一个计数器需要设置清零信号输入外,还需为六个技术器设置时钟使能信号,即计时允许信号,以便作为秒表的计时起、停控制开关。因此数字秒表可由一个分频器、四个十进制计数器以及两个六进制记数器组成,如图1所示。

系统原理框图 2.VHDL程序 (1)3MHz→100Hz分频器的源程序CLKGEN.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY CLKGEN IS PORT(CLK: IN STD_LOGIC; --3MHZ信号输入 NEWCLK: OUT STD_LOGIC); --100HZ计时时钟信号输出 END ENTITY CLKGEN; ARCHITECTURE ART OF CLKGEN IS SIGNAL CNTER: INTEGER RANGE 0 TO 10#239999#; --十进制计数预置数 BEGIN PROCESS(CLK) IS BEGIN IF CLK' EVENT AND CLK='1'THEN IF CNTER=10#239999#THEN CNTER<=0; --3MHZ信号变为100MHZ,计数常熟为30000 ELSE CNTER<=CNTER+1; END IF; END IF; END PROCESS; PROCESS(CNTER) IS --计数溢出信号控制 BEGIN IF CNTER=10#239999#THEN NEWCLK<='1'; ELSE NEWCLK<='0';

1602lcd显示的秒表

目录 1 概述 0 1.1 1602LCD研究的历史背景和意义 0 1.2 1602LCD研究的发展和现状 0 2 课题方案设计 0 2.1系统设计目的 0 2.2系统结构模块论证 (1) 2.2.1 显示部分 (1) 3 系统硬件设计 (1) 3.1 总体设计 (1) 3.2 单片机运行的最小系统 (2) 3.2.1 电源电路 (2) 3.2.2晶振电路 (2) 3.2.3复位电路 (3) 3.3 显示电路 (4) 3.3.1引脚说明: (4) 3.4 单片机STC89C52 (5) 3.4.1主要特性 (5) 3.4.2功能特性概述 (6) 3.4.3主要引脚及芯片基本工作条件说明 (6) 4 系统软件设计 (7) 4.1 总流程图 (7) 4.2 最小系统检测电路程序 (8) 4.3 编程调试界面 (9) 4.4 Proteus仿真结果 (9) 5软硬件联调及调试结果 (10) 5.1 实物图 (10) 5.2 调试结果 (11) 结束语 (12) 参考文献 (12) 附录2 1602LCD设计的秒表PCB图 (14) 附录3 1602LCD设计的秒表Proteus仿真图 (15) 附录4 1602LCD设计的秒表C语言程序清单 (15) 附录5 基于单片机的1602LCD设计的秒表元器件目录表 (21)

1 概述 1.1 1602LCD研究的历史背景和意义 LCD1602是16字乘以2行的字符型液晶模板。其特点是: (1)位数多,可显示32位。 (2)显示内容丰富,可显示所有数字、字母、符号等192种ASCII码对应的字符。(3)程序简单 1.2 1602LCD研究的发展和现状 液晶显示模块具有体积小、功耗低、显示内容丰富、超薄轻巧等优点,在袖珍式仪表和低功耗应用系统中得到广泛的应用。目前字符型液晶显示模块已经是单片机应用设计中最常用的信息显示器件。LCD1602液晶显示模块,它可以显示两行,每行16个字符,采用单+SV电源供电,外围电路配置简单,价格便宜,具有很高的性价比。 2 课题方案设计 2.1系统设计目的 设计一个单片机控制的秒表系统,利用单片机的定时器计数器定时和计数的原理,结合显示电路、LCD液晶显示器以及按键来设计计数器。将软、硬件有机地结合起来,使得系统能够正确的进行加、减计时,液晶显示器能够正确的显示时间。使学生增进对单片机的感性认识,加深对单片机理论方面的理解。掌握单片机的内部功能模块的应用,如定时器/计数器、中断、片内外存贮器、I/O口、串行口通讯等。同时了解和掌握单片机应用系统的软硬件设计过程、方法及实现,为以后设计和实现单片机应用系统打下良好基础。 (1)使用集成数字电路或单片机作为主控制芯片 (2)使用LCD来显示现在的时间,显示格式为: 上行显示:Current Time; 下行显示:时时:分分:秒秒; (3)具有调整日期与时间的功能。

如何在ppt里插入一个秒表计时器

如何在P P T里插入一个秒表计时器? 【方法一】可以插入一个计时器模型,再在计时器表面插入一个文本框,然后在文本框中输入字,并设置置动画,具体数字的设置方法如下: 你可以设置数字的自定义动画: 1、先在文本框中输入10——右键——自定义动画,退出:消失——在出现的动画设置(右边)中选择:开始于鼠标单击时——点出下拉菜单选择计时:延迟1秒——也就是说在你的鼠标单击时开始倒计时。 2、再插入一个文本框,输入9——右键——自定义动画——出现:溶解——在出现的动画设置(右边)中选择:开始于上一项之后(就是一个小钟面之后)——点出下拉菜单选择计时:速度/快速(1秒)——再点出效果——动画播完后/播完动画后隐藏。 3、复制数字9,把复制的内容改为8,再复制8,把复制的内容改为7;如此循环下去只到0就行。注意:一定要先复制,再把复制的数字改为下一个数字,顺序不能错,因为这个过程也就是数字的动画出现的顺序。 【方法二】用PPT来做,超链接我就不讲了,进入正题: 用绘图栏里的工具画一个箭头,加自定义动画“强 调”-“陀螺旋”,在“计时”里把“速度”设成60秒,在“重复”里选“直到下一次单击”,然后点击一下试试,明白了吧。其余就是做个有刻度的表盘,画几个同心圆,加点文字就行。

【方法三】利用Active X控件插入动画 ---选择“视图”->“工具栏”->“控件工具箱” ---点击“其它工具图标”,从下拉列表中选择“ShockWave Flash Object”选项,鼠标变成“+”形状,将其拖动即出现Flash控件图形。 ---单击“控件工具箱”上的“属性”按钮,打开属性对话框。 ---选择“自定义”,单击“浏览”按钮,在弹出的对话框中的“Movie URL”中输入. swf文件路径及名称,并调整“play”,“quality”,“loop”等其它属性。 【方法四】插入动态文本:txtContent 场景第一帧加入下列代码: function getTime() { beginTime = new Date(); dateDiff = (()() ) / 1000); if (dateDiff >= 0) { d = (dateDiff / 60 / 60 / 24); dateDiff = dateDiff % 86400; h = (dateDiff / 60 / 60); dateDiff = dateDiff % 3600; m = (dateDiff / 60);

相关文档
最新文档