一位全加器

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

菜单 Assignments ->Settings
仿真步骤
运行仿真
也可通过菜单 Processing运行仿真
仿真步骤
观察仿真结果是否符合设计
下载运行
打开锁定管脚对话框
下载运行
锁定管脚
下载运行
锁定后编译设计文件
பைடு நூலகம்
下载运行
下载
下载运行
通过usb blaster下载线连接电脑和实验箱进行下载
下载前检查下载线和下 载模式是否设置正确
原理图设计一位全加器
刘乔寿
一位半加器真值表
输入 a 0 0 1 1 b 0 1 0 1 输出 so 0 1 1 0 co 0 0 0 1
so = a ⊕ b co = a • b
一位半加器电路图
a so
b co
设计步骤
运行Quartus II并新建一个工程
选择新建 工程向导
设计步骤
指定项目存放路径并为项目取名
通过半加器设计全加器
生成元件
通过半加器设计全加器
新建原理图文件,并添加刚生成新元件
注意生产的元 件存放位置
通过半加器设计全加器
连接电路图
指定项目名称 后,顶层文件 名称会自动生 成并和项目名 称一致,可在 后续设计中根 据需要从新指 定顶层文件
设计步骤
指定所用芯片型号
设计步骤
项目新建完成后,新建一个原理图文件
File->New 然后在弹出的对话框 中选择原理图文件
设计步骤
在工作区单击鼠标右键,选择插入元件
设计步骤
在弹出的对 话框的Name 栏输入xor并 确定
设计步骤
根据上面的步骤,再选择一个二输入的与 门(and2)、两个输入端(input)、两个 输出端(output)。
设计步骤
修改输入端的名称为a、b,输出端的名称 为so、co。
设计步骤
按照半加器的原理图完成电路连接并保存 为h_adder.bdf。
设计步骤
指定h_adder为顶层文件并
设计步骤
编译
也可通过菜单完成编译
仿真步骤
建立仿真文件
File->New 然后选择波形文件
仿真步骤
添加仿真向量(端口)
仿真步骤
在弹出的对话框 点击Node Finder
仿真步骤
点击List并 选择需要仿 真的端口
修改a、b的值,保存文件为h_adder.vwf
仿真步骤
指定刚保存的h_adder.vmf文件作为仿真文件
相关文档
最新文档