4位全加器设计解析

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

可编程逻辑器件设计大作业

题目四位全加器设计

学院自动化与电气工程学院班级

姓名

学号

2104年12月30 日

目录

摘要 ...............................................................................................错误!未定义书签。

1.设计目的 ..................................................................................错误!未定义书签。2.设计要求ﻩ错误!未定义书签。

3.设计原理ﻩ错误!未定义书签。

3.1.四位全加器 (1)

3.2.四位全加器的原理图...................................................错误!未定义书签。

4.设计方案 ..................................................................................错误!未定义书签。

4.1.仿真软件ﻩ错误!未定义书签。

4.2.全加器原理...................................................................错误!未定义书签。

4.2.1一位全加器的设计与原理 ............................................错误!未定义书签。

4.2.2四位全加器的原理及程序设计 ..................................错误!未定义书签。5.程序设计...............................................................................错误!未定义书签。

6.仿真及结果..............................................................................错误!未定义书签。总结与体会ﻩ错误!未定义书签。

参考文献ﻩ错误!未定义书签。

摘要

VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

本次设计是用VHDL语言设计四位全加器,并用Quartus II仿真。

关键词:VHDL四位全加器QuartusII

四位全加器设计

1.设计目的

复习加法器的原理,掌握加法器的设计实现方法,设计实现数字系统设计中

常用的4位全加器,在此基础上进一步熟悉MAX+PLUSⅡ或Quartus II软件的使用方法,熟练掌握EDA的图形编程方法、开发流程、以及组合逻辑电路的设计、分析、综合、仿真方法。

2.设计要求

1)复习EDA的相关技术与方法;

2)掌握VHDL或者Verilog语言,并要求能编写程序。

3)Quartus软件的使用:掌握程序编辑、编译、调试、仿真方法。

4)设计相关简单的电路,完成既定的功能。

3.设计原理

3.1.四位全加器

加法器是数字系统中的基本逻辑器件。例如:为了节省资源,减法器和硬件乘法器都可由加法器来构成。但宽位加法器的设计是很耗费资源的,因此在实际的设计和相关系统的开发中需要注意资源的利用率和进位速度等两方面的问题。

多位加法器的构成有两种方式:并行进位和串行进位方式。并行进位加法器设有并行进位产生逻辑,运算速度快;串行进位方式是将全加器级联构成多位加法器。通常,并行加法器比串行级联加法器占用更多的资源,并且随着位数的增加,相同位数的并行加法器比串行加法器的资源占用差距也会越来越大。

四位全加器可对两个多位二进制数进行加法运算,同时产生进位。当两个二进制数相加时,较高位相加时必须加入较低位的进位项(Ci),以得到输出为和(S)和进位(C0)。

其中CIN表示输入进位位,COUT表示输出进位位,输入A和B分别表示加数和被加数。输出SUM=A+B+CIN,当SUM大于255时,COUT置‘1’。

其管脚图如下:

()

()cin

b a ab cin b a

b a ab abcin

cin b a bcin a cin ab cout ⊕+=++=+++=()

(

)

()(

)

cin

b a cin

b a cin b a cin ab b a cin b a b a abcin cin b a cin b a cin b a s ⊕⊕=⊕+⊕=+++=+++=

图3-1 四位全加器管脚图

全加器是能进行加数、被加数和低位来的进位信号相加,并根据求和结果给出该位的进位信号的加法电路。其真值表如表1所示:

表1 全加器真值表

输入

输出

a b ci n s co ut 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 0 0 1 0 0 1 1 0 0 1 1 0 1 1 0 1 0 1 1

1

1

根据真值表可得出下列表达式:

相关文档
最新文档