一种集成电路产品测试系统的设计与实现

一种集成电路产品测试系统的设计与实现
一种集成电路产品测试系统的设计与实现

一种集成电路产品测试系统的设计与实现

曹维国1,邓中亮1,王峥2

1北京邮电大学电子工程学院,北京 (100876)

2凤凰微电子(中国)有限公司,北京 (100084)

E-mail:Weiguo.cao@https://www.360docs.net/doc/437968478.html,

摘要:本文回顾了数字集成电路的测试技术;分析了该项技术在对SIM形式封装的数字集成电路测试中的缺陷和不足;针对目前的测试系统的单一和性能价格比例偏低的情况提出了一种新型的综合测试系统,详细介绍了该系统的工作原理及组成,讨论了该系统的软硬件设计方案,总结了其优点。

关键词:用户识别模块,集成电路,测试系统,精密测量单元

1.引言

数字集成电路测试的目的在于检测集成电路的故障并对检测到的故障进行定位、生成测试报告并对故障进行分类汇总以用于缺陷分析。从测试技术上分可分为测试生成技术、响应鉴别技术、测试仪技术和易测设计技术等。从测试方法上分可分为人工测试和穷举测试法、ATPG (自动测试图形生成)、DFT (Design For Test,可测性设计)、 BST (边界扫描测试)和BIST (Build In Self Test,内建自测试)[1]等。从阶段可分为设计阶段测试、生产阶段测试和产品测试[2]。测试技术和测试方法具有通用性和共用性,而阶段性测试则跟被测对象的不同会衍生不同的测试系统尤其是在产品测试阶段[3]。设计阶段测试可借助强大的EDA(Electronic Design Automation,电子设计自动化)工具,生产阶段的测试由集成电路制造商完整的制造体系来保证,但是封装后的数字集成电路的外形各种各样,管脚有多有少,尤其是对SIM (Subscriber Identity Module,用户识别模块)形式封装的数字集成电路的产品外部只有8个管脚可以利用,从而造成了该类产品测试阶段通用性和专用性的矛盾。目前针对SIM封装形式的数字集成电路进行产品测试的系统十分稀缺且都具有共同的不足:

1)没有补偿电路,无法进行回零测试;

2)只能进行电气性能的开短路和漏电流测试,无法进行加压测流和加流测压;

3)只能进行电气性能的测试,无法完成逻辑功能的测试;

4)价格比较高。

结合SIM封装形式的数字集成电路产品测试系统的要求和企业产品的具体应用进行设计开发了一套专用测试系统,实现对SIM封装形式的数字集成电路的逻辑功能测试和电气性能测试,并对测试的结果进行汇总分析形成报告以用于缺陷分析。

2.系统介绍

本测试系统由控制计算机﹑测试电路和测试适配器三部分组成.适用于SIM封装形式下的集成电路的开短路测试﹑工作电流测试﹑输入管脚漏电流测试﹑输出电平测试和基本逻辑功能测试。并且具备16个芯片的并行测试能力。系统框架图如图1所示:

图1 系统框架图

本测试系统的核心测试程序烧录在MCU(Micro Controller Unit,微控制单元)上[4],可以保证高效而又准确的对SIM进行电气性能的测试;PMU(Power Management Unit,精密测量单元)和DSP(Digital Signal Processing,数控电源)是本测试系统的核心部分,准确地为需要测试的管脚提供可编程电压、电流,并执行MCU的指令进行加压测流和加流测压;继电器矩阵则在上位机的控制下进行管脚的切换以完成测试逻辑功能模块和测试电气性能功能模块的切换,丰富测试系统的逻辑功能测试。

上位机完成对测试系统的控制,发送测试指令,接收测试结果并对结果进行判断,对不合格的产品的测量数据进行汇总和分析形成测试报告。

3.测试技术

3.1 逻辑功能测试

对SIM产品的逻辑功能测试即ATR(Answer To Reset,复位应答)测试。ATR是SIM 对复位的响应而发送的一序列字节,它包括着与SIM传输协议有关的参数信息,这些信息是由SIM制造商预先写在SIM的ROM里的。ATR要求的时序图如图2(图2中各管脚名称见表1)[5]:

图2 ATR时序图

表1 SIM管脚名称表

C1 C2 C3 C4 C5 C6 C7 C8

V CC RST CLK RFU GND V PP I/O RFU 3.2 电气性能测试

在进行电气性能测量之前测量系统会首先执行回零操作进行系统自身的校准。本测试系

统采用12位A/D、D/A、分档和开尔文接发等手段来实现高精度测量。主要的测量方法采

用FVMI(Force Voltage Measure Current,加压测流)、FIMV(Force Current Measure Voltage,

加流测压)和FVMV(Force Voltage Measure Voltage,加压测压)。

详细测量值、响应速度和分辨率要求范围请参阅参考文献[6]

,本文不进行详细说明。

4.软件设计

4.1 软件架构

以PC作为主机采用PCI扩展串口卡控制16个模块进行MOD1(电气性能测试模块)

和MOD2(SIM逻辑测试模块)两种模式的测试。测试系统集成环境在Visual C++6.0下开

发完成,对话框为基本骨架,界面友好,可扩展性与可维护性都比较好。

图3 软件组织框架图

4.2 数据传输协议

表2数据传输协议

帧头AAH 地址字节后跟数据字节数N N-1个字节数据和校验字节

帧头AAH:作为一帧数据的开始地址字节:对应每个set的标志

后跟数据字节N:后面共有N字节的数据N-1个字节数据:测试数据体

校验字节:校验值[7]

5.结论

本文从产品测试的角度出发,结合了具体的SIM封装形式的数字集成电路的特殊要求,综合了逻辑功能测试和电气性能测试,在广泛调研、深人研讨和多次改版试验的基础上给出了基于SIM封装形式的数字集成电路的产品的测试方案。不仅从设计的角度进行理论论证和从实用的角度进行分析,而且最终形成产品并在企业实际生产中得到了实践验证。

从实际生产的应用中再次证明了本系统能够高效准确地完成对不合格产品的筛选,并把不合格产品的故障进行定位,对不合格产品的故障类型进行分类汇总。同时本测试系统的较低的成本和良好的可扩展性也为大规模的推广应用奠定了基础。

参考文献

[1]Steininger A.Testing and built-in self-test-A survey[J].Journal of Systems Architecture,2000,46:721.747

[2]Michael L. Bushnell, Vishwani D. Agrawal, 《超大规模集成电路测试》[M].北京:电子工业出版是,2005

[3]杨之廉.《集成电路导论》[M].北京:清华大学出版社, 2003

[4]何立民.《单片机高级教程》[M].北京:北京航空航天大学出版社, 2001

[5]Wolfgang Rankl, Wolfgang Effing, 《智能卡大全》[M].北京:电子工业出版是,2002

[6]中华人民共和国国家标准.《识别卡测试方法》GB/T 17554.3-2006[M].北京:中国标准出版社,2006

[7]李现勇.《Visual C++串口通讯技术与工程实现》[M],北京:人民邮电出版社,2002

An IC Testing System

Cao Weiguo1,Deng Zhongliang1,Wang Zheng2

1 Electronic Engineering School,Beijing University of Posts and Telecommunications,Beijing

(100876)

2 Phoenix Microelectronics (China) Co., Ltd.,Beijing (100084)

Abstract

This article briefly reviews the IC testing technology, and analyzes the disadvantages and limitations when it is applicable to the testing of ASIC packaged in SIM; meanwhile this article suggests a new integrated IC tesing system in order to improve the singleness and low performance-price ratio of the current testing system, then detailed illustrates the operation principle and structure of this new testing system and presents its software and hardware design solution as well as the system advantages. Keywords: SIM,IC,testing system,PMU

集成电路测试员实习报告

集成电路测试员实习报告 篇一:测控技术与仪器专业生产实习报告 测控技术与仪器专业 《生产实习报告》 一、实习概况 实习时间:XX.7.28-XX.8.8 实习地点:无锡市公共实训基地 实习要求:掌握如下的专业知识和技能并通过考核。 1.集成电路及测试常识 2.模拟集成电路测试原理、方法及设备详细构成; 3.集成电路主要参数及测试设备框架构成; 4.评估集成电路的具体技术指标; 5.集成电路测试实际操作。 二、实习企业介绍 北京信诺达泰思特科技股份有限公司成立于XX年11月,注册资本为632万人民币,主要从事集成电路测试系统的研发。在集成电路测试领域具有深厚的技术实力与市场储备,同时承接集成电路测试服务、电路板测试维修业务。公司是集研制、开发、销售、服务于一体的高新技术企业。由研发人员发明了“一种快速获取DSP测试向量的方法及装置”并取得国防专利证书。公司核心研发团队多年来一直从事半导体测试系统的研发工作,参与并完成的项目包括国家六.五

重点科技攻关项目“大规模/超大规模存储器集成电路测试系统研制”;国家“七五”、“八五”重点科技攻关项目“测试程序库的开发与实 用化”;北京市科学院“100M超大规模数字电路测试系统研制”项目等,以上项目均顺利通过验收。公司所研发的产品涵盖数字集成电路测试、模拟集成电路测试、数模混合集成电路测试、存储器测试、继电器测试、电源模块测试等,曾为多家封装测试企业、军工企业及科研院所提供产品及服务,广泛应用于航空、航天、铁路、船舶、兵器、电子、核工业等领域。还可以针对用户实际需求,量身为客户提供最优的测试解决方案。公司秉承“敬业、奉献、协同、创新”的精神,为客户提供高质高效的测试展品和服务。 三、实习内容 第一周: 7月28日上午我们来到无锡公共实训基地学习集成电路测试的相关知识。下午基地领导带我们参观了公司、介绍了相关产品。 产品描述: ST5000是一款高精度的半导体分立器件测试系统,该系统采用了标准的PXI总线,能够兼容CPCI和PXI设备。它是一款浮动资源的测试工作站,这种特殊的架构方式使得用户可以最有效的利用系统资源,配置出最经济、高效的测试

3.2模拟集成电路设计-差分放大器版图

集成电路设计实习Integrated Circuits Design Labs I t t d Ci it D i L b 单元实验三(第二次课) 模拟电路单元实验-差分放大器版图设计 2007-2008 Institute of Microelectronics Peking University

实验内容、实验目的、时间安排 z实验内容: z完成差分放大器的版图 z完成验证:DRC、LVS、后仿真 z目的: z掌握模拟集成电路单元模块的版图设计方法 z时间安排: z一次课完成差分放大器的版图与验证 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page1

实验步骤 1.完成上节课设计放大器对应的版图 对版图进行、检查 2.DRC LVS 3.创建后仿真电路 44.后仿真(进度慢的同学可只选做部分分析) z DC分析:直流功耗等 z AC分析:增益、GBW、PM z Tran分析:建立时间、瞬态功耗等 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page2

Display Option z Layout->Options ->Display z请按左图操作 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page3

由Schematic创建Layout z Schematic->Tools->Design Synthesis->Layout XL->弹出窗口 ->Create New->OK >选择Create New>OK z Virtuoso XL->Design->Gen From Source->弹出窗口 z选择所有Pin z设置Pin的Layer z Update Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page4

电子科技大学集成电路原理实验CMOS模拟集成电路设计与仿真王向展

实验报告 课程名称:集成电路原理 实验名称: CMOS模拟集成电路设计与仿真 小组成员: 实验地点:科技实验大楼606 实验时间: 2017年6月12日 2017年6月12日 微电子与固体电子学院

一、实验名称:CMOS模拟集成电路设计与仿真 二、实验学时:4 三、实验原理 1、转换速率(SR):也称压摆率,单位是V/μs。运放接成闭环条件下,将一个阶跃信号输入到运放的输入端,从运放的输出端测得运放的输出上升速率。 2、开环增益:当放大器中没有加入负反馈电路时的放大增益称为开环增益。 3、增益带宽积:放大器带宽和带宽增益的乘积,即运放增益下降为1时所对应的频率。 4、相位裕度:使得增益降为1时对应的频率点的相位与-180相位的差值。 5、输入共模范围:在差分放大电路中,二个输入端所加的是大小相等,极性相同的输入信号叫共模信号,此信号的范围叫共模输入信号范围。 6、输出电压摆幅:一般指输出电压最大值和最小值的差。 图 1两级共源CMOS运放电路图 实验所用原理图如图1所示。图中有多个电流镜结构,M1、M2构成源耦合对,做差分输入;M3、M4构成电流镜做M1、M2的有源负载;M5、M8构成电流镜提供恒流源;M8、M9为偏置电路提供偏置。M6、M7为二级放大电路,Cc为引入的米勒补偿电容。 其中主要技术指标与电路的电气参数及几何尺寸的关系:

转换速率:SR=I5 I I 第一级增益:I I1=?I I2 I II2+I II4=?2I I1 I5(I2+I3) 第二级增益:I I2=?I I6 I II6+I II7=?2I I6 I6(I6+I7) 单位增益带宽:GB=I I2 I I 输出级极点:I2=?I I6 I I 零点:I1=I I6 I I 正CMR:I II,III=I II?√5 I3 ?|I II3|(III)+I II1,III 负CMR:I II,III=√I5 I1+I II5,饱和 +I II1,III+I II 饱和电压:I II,饱和=√2I II I 功耗:I IIII=(I8+I5+I7)(I II+I II) 四、实验目的 本实验是基于微电子技术应用背景和《集成电路原理与设计》课程设置及其特点而设置,为IC设计性实验。其目的在于: 根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路设计,掌握基本的IC设计技巧。 学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行电路的模拟仿真。 五、实验内容 1、根据设计指标要求,针对CMOS两级共源运放结构,分析计算各器件尺寸。 2、电路的仿真与分析,重点进行直流工作点、交流AC和瞬态Trans分析,能熟练掌握各种分析的参数设置方法与仿真结果的查看方法。 3、电路性能的优化与器件参数调试,要求达到预定的技术指标。

集成电路测试

第一章 集成电路的测试 1.集成电路测试的定义 集成电路测试是对集成电路或模块进行检测,通过测量对于集成电路的输出回应和预期输出比较,以确定或评估集成电路元器件功能和性能的过程,是验证设计、监控生产、保证质量、分析失效以及指导应用的重要手段。 .2.集成电路测试的基本原理 输入Y 被测电路DUT(Device Under Test)可作为一个已知功能的实体,测试依据原始输入x 和网络功能集F(x),确定原始输出回应y,并分析y是否表达了电路网络的实际输出。因此,测试的基本任务是生成测试输入,而测试系统的基本任务则是将测试输人应用于被测器件,并分析其输出的正确性。测试过程中,测试系统首先生成输入定时波形信号施加到被测器件的原始输入管脚,第二步是从被测器件的原始输出管脚采样输出回应,最后经过分析处理得到测试结果。 3.集成电路故障与测试 集成电路的不正常状态有缺陷(defect)、故障(fault)和失效(failure)等。由于设计考虑不周全或制造过程中的一些物理、化学因素,使集成电路不符合技术条件而不能正常工作,称为集成电路存在缺陷。集成电路的缺陷导致它的功能发生变化,称为故障。故障可能使集成电路失效,也可能不失效,集成电路丧失了实施其特定规范要求的功能,称为集成电路失效。故障和缺陷等效,但两者有一定区别,缺陷会引发故障,故障是表象,相对稳定,并且易于测试;缺陷相对隐蔽和微观,缺陷的查找与定位较难。 4.集成电路测试的过程 1.测试设备 测试仪:通常被叫做自动测试设备,是用来向被测试器件施加输入,并观察输出。测试是要考虑DUT的技术指标和规范,包括:器件最高时钟频率、定时精度要求、输入\输出引脚的数目等。要考虑的因素:费用、可靠性、服务能力、软件编程难易程度等。 1.测试界面 测试界面主要根据DUT的封装形式、最高时钟频率、ATE的资源配置和界面板卡形等合理地选择测试插座和设计制作测试负载板。

集成电路设计基础复习

1、解释基本概念:集成电路,集成度,特征尺寸 参考答案: A、集成电路(IC:integrated circuit)是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的集成块。 B、集成度是指在每个芯片中包含的元器件的数目。 C、特征尺寸是代表工艺光刻条件所能达到的最小栅长(L)尺寸。 2、写出下列英文缩写的全称:IC,MOS,VLSI,SOC,DRC,ERC,LVS,LPE 参考答案: IC:integrated circuit;MOS:metal oxide semiconductor;VLSI:very large scale integration;SOC:system on chip;DRC:design rule check;ERC:electrical rule check;LVS:layout versus schematic;LPE:layout parameter extraction 3、试述集成电路的几种主要分类方法 参考答案: 集成电路的分类方法大致有五种:器件结构类型、集成规模、使用的基片材料、电路功能以及应用领域。根据器件的结构类型,通常将其分为双极集成电路、MOS集成电路和Bi-MOS 集成电路。按集成规模可分为:小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路。按基片结构形式,可分为单片集成电路和混合集成电路两大类。按电路的功能将其分为数字集成电路、模拟集成电路和数模混合集成电路。按应用领域划分,集成电路又可分为标准通用集成电路和专用集成电路。 4、试述“自顶向下”集成电路设计步骤。 参考答案: “自顶向下”的设计步骤中,设计者首先需要进行行为设计以确定芯片的功能;其次进行结构设计;接着是把各子单元转换成逻辑图或电路图;最后将电路图转换成版图,并经各种验证后以标准版图数据格式输出。 5、比较标准单元法和门阵列法的差异。 参考答案:

数字集成电路测试系统BJ3125A使用说明书【模板】

数字集成电路测试系统 BJ3125A 使用说明书 北京无线电仪器厂 ********

1.概述 1.1BJ3125A 型数字IC测试系统是BJ3125数字IC测试系统的改型产品,继 承了原有系统的优点。 1.2 该系统数字IC测试按存储响应法进行设计,这种方法理论上成熟,方法上统一,应用最广泛,国内外科技人员熟悉。此外,由于利用这种原理测试方法上差异小,所以易于和国内、外其他测试系统的测试数据,测试结果数据进行比较,有较好的兼容性。 1.3 本系统的设计思想 采用通用微机控制,为以后多快好省地开发各系列智能仪器打下基础。采用通用微机对于软件开发及系统调试都带来许多方便。 采用总线支持模块化结构,便于扩展成其他测试系统。 将研制中大规模数字集成电路测试系统中积累的知识、经验充分赋予该系统,软件能继承的就继承,如页表式编程测试包、系统的诊断校准程序、程序库…… 在功能测试上不追求速度而只追求功能齐全,如:能测试各种工艺系列的IC,能测开路门,可进行三态测试等。着重在直流参数上下功夫。如:小电流测试及保证较好的测试精度。 在电路设计上力求电路简捷,尽量采用先进的、性价比高的器件,如选用AD7237双D/A、AD526增益可软件编程放大器、AD620仪用放大器等,可降低成本,缩短研制周期,较容易保证较好的性能指标,便于生产。 1.4 本系统的主要特点

——采用通用微机控制 ——完善的诊断校准程序 ——商业化齐套实用的程序库 ——具有测试存储器的软件图形发生器 ——具有电平精度高、输出阻抗低、电平范围宽的三态驱动器。——可对开路门进行测试 ——具有三态测试能力 ——采用地缓冲放大器,以利用提高直流参数测试精度 ——功能测试采用双阈值比较 ——恒流源、恒压源、电压表是独立的、便于测试模拟电路时使用——易于扩展成其它IC测试系统。 1.5 本测试系统,可测试中小规模数字IC 1.6 测试用途 整机厂、研究单位的器件验收测试及其他各种应用测试。 2.系统构成及主要功能(参看图1)

模拟cmos集成电路设计实验

模拟cmos集成电路设计实验 实验要求: 设计一个单级放大器和一个两级运算放大器。单级放大器设计在课堂检查,两级运算放大器设计需要于学期结束前,提交一份实验报告。实验报告包括以下几部分内容: 1、电路结构分析及公式推导 (例如如何根据指标确定端口电压及宽长比) 2、电路设计步骤 3、仿真测试图 (需包含瞬态、直流和交流仿真图) 4、给出每个MOS管的宽长比 (做成表格形式,并在旁边附上电路图,与电路图一一对应) 5、实验心得和小结 单级放大器设计指标 两级放大器设计指标

实验操作步骤: a.安装Xmanager b.打开Xmanager中的Xstart

c.在Xstart中输入服务器地址、账号和密码 Host:202.38.81.119 Protocol: SSH Username/password: 学号(大写)/ 学号@567& (大写)Command : Linux type 2 然后点击run运行。会弹出xterm窗口。 修改密码

输入passwd,先输入当前密码,然后再输入两遍新密码。 注意密码不会显示出来。 d.设置服务器节点 用浏览器登陆http://202.38.81.119/ganglia/,查看机器负载情况,尽量选择负载轻的机器登陆,(注:mgt和rack01不要选取) 选择节点,在xterm中输入 ssh –X c01n?? (X为大写,??为节点名) 如选择13号节点,则输入ssh –X c01n13 e.文件夹管理 通常在主目录中,不同工艺库建立相应的文件夹,便于管理。本实验采用SMIC40nm工艺,所以在主目录新建SMIC40文件夹。 在xterm中,输入mkdir SMIC40 然后进入新建的SMIC40文件夹, 在xterm中,输入cd SMIC40.

集成电路测试原理及方法资料

H a r b i n I n s t i t u t e o f T e c h n o l o g y 集成电路测试原理及方法简介 院系:电气工程及自动化学院 姓名: XXXXXX 学号: XXXXXXXXX 指导教师: XXXXXX 设计时间: XXXXXXXXXX

摘要 随着经济发展和技术的进步,集成电路产业取得了突飞猛进的发展。集成电路测试是集成电路产业链中的一个重要环节,是保证集成电路性能、质量的关键环节之一。集成电路基础设计是集成电路产业的一门支撑技术,而集成电路是实现集成电路测试必不可少的工具。 本文首先介绍了集成电路自动测试系统的国内外研究现状,接着介绍了数字集成电路的测试技术,包括逻辑功能测试技术和直流参数测试技术。逻辑功能测试技术介绍了测试向量的格式化作为输入激励和对输出结果的采样,最后讨论了集成电路测试面临的技术难题。 关键词:集成电路;研究现状;测试原理;测试方法

目录 一、引言 (4) 二、集成电路测试重要性 (4) 三、集成电路测试分类 (5) 四、集成电路测试原理和方法 (6) 4.1.数字器件的逻辑功能测试 (6) 4.1.1测试周期及输入数据 (8) 4.1.2输出数据 (10) 4.2 集成电路生产测试的流程 (12) 五、集成电路自动测试面临的挑战 (13) 参考文献 (14)

一、引言 随着经济的发展,人们生活质量的提高,生活中遍布着各类电子消费产品。电脑﹑手机和mp3播放器等电子产品和人们的生活息息相关,这些都为集成电路产业的发展带来了巨大的市场空间。2007年世界半导体营业额高达2.740亿美元,2008世界半导体产业营业额增至2.850亿美元,专家预测今后的几年随着消费的增长,对集成电路的需求必然强劲。因此,世界集成电路产业正在处于高速发展的阶段。 集成电路产业是衡量一个国家综合实力的重要重要指标。而这个庞大的产业主要由集成电路的设计、芯片、封装和测试构成。在这个集成电路生产的整个过程中,集成电路测试是惟一一个贯穿集成电路生产和应用全过程的产业。如:集成电路设计原型的验证测试、晶圆片测试、封装成品测试,只有通过了全部测试合格的集成电路才可能作为合格产品出厂,测试是保证产品质量的重要环节。 集成电路测试是伴随着集成电路的发展而发展的,它为集成电路的进步做出了巨大贡献。我国的集成电路自动测试系统起步较晚,虽有一定的发展,但与国外的同类产品相比技术水平上还有很大的差距,特别是在一些关键技术上难以实现突破。国内使用的高端大型自动测试系统,几乎是被国外产品垄断。市场上各种型号国产集成电路测试,中小规模占到80%。大规模集成电路测试系统由于稳定性、实用性、价格等因素导致没有实用化。大规模/超大规模集成电路测试系统主要依靠进口满足国内的科研、生产与应用测试,我国急需自主创新的大规模集成电路测试技术,因此,本文对集成电路测试技术进行了总结和分析。 二、集成电路测试重要性 随着集成电路应用领域扩大,大量用于各种整机系统中。在系统中集成电路往往作为关键器件使用,其质量和性能的好坏直接影响到了系统稳定性和可靠性。 如何检测故障剔除次品是芯片生产厂商不得不面对的一个问题,良好的测试流程,可以使不良品在投放市场之前就已经被淘汰,这对于提高产品质量,建立生产销售的良性循环,树立企业的良好形象都是至关重要的。次品的损失成本可以在合格产品的售价里得到相应的补偿,所以应寻求的是质量和经济的相互制衡,以最小的成本满足用户的需要。 作为一种电子产品,所有的芯片不可避免的出现各类故障,可能包括:1.固定型故障;2.跳变故障;3.时延故障;4.开路短路故障;5桥接故障,等等。测试的作用是检验芯片是否存在问题,测试工程师进行失效分析,提出修改建议,从工程角度来讲,测试包括了验证测试和生产测试两个主要的阶段。

cmos模拟集成电路设计实验报告

北京邮电大学 实验报告 实验题目:cmos模拟集成电路实验 姓名:何明枢 班级:2013211207 班内序号:19 学号:2013211007 指导老师:韩可 日期:2016 年 1 月16 日星期六

目录 实验一:共源级放大器性能分析 (1) 一、实验目的 (1) 二、实验内容 (1) 三、实验结果 (1) 四、实验结果分析 (3) 实验二:差分放大器设计 (4) 一、实验目的 (4) 二、实验要求 (4) 三、实验原理 (4) 四、实验结果 (5) 五、思考题 (6) 实验三:电流源负载差分放大器设计 (7) 一、实验目的 (7) 二、实验内容 (7) 三、差分放大器的设计方法 (7) 四、实验原理 (7) 五、实验结果 (9) 六、实验分析 (10) 实验五:共源共栅电流镜设计 (11) 一、实验目的 (11) 二、实验题目及要求 (11) 三、实验内容 (11) 四、实验原理 (11) 五、实验结果 (14) 六、电路工作状态分析 (15) 实验六:两级运算放大器设计 (17) 一、实验目的 (17) 二、实验要求 (17) 三、实验内容 (17) 四、实验原理 (21) 五、实验结果 (23) 六、思考题 (24) 七、实验结果分析 (24) 实验总结与体会 (26) 一、实验中遇到的的问题 (26) 二、实验体会 (26) 三、对课程的一些建议 (27)

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验内容 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、实验电路图

一种集成电路产品测试系统的设计与实现

一种集成电路产品测试系统的设计与实现 曹维国1,邓中亮1,王峥2 1北京邮电大学电子工程学院,北京 (100876) 2凤凰微电子(中国)有限公司,北京 (100084) E-mail:Weiguo.cao@https://www.360docs.net/doc/437968478.html, 摘要:本文回顾了数字集成电路的测试技术;分析了该项技术在对SIM形式封装的数字集成电路测试中的缺陷和不足;针对目前的测试系统的单一和性能价格比例偏低的情况提出了一种新型的综合测试系统,详细介绍了该系统的工作原理及组成,讨论了该系统的软硬件设计方案,总结了其优点。 关键词:用户识别模块,集成电路,测试系统,精密测量单元 1.引言 数字集成电路测试的目的在于检测集成电路的故障并对检测到的故障进行定位、生成测试报告并对故障进行分类汇总以用于缺陷分析。从测试技术上分可分为测试生成技术、响应鉴别技术、测试仪技术和易测设计技术等。从测试方法上分可分为人工测试和穷举测试法、ATPG (自动测试图形生成)、DFT (Design For Test,可测性设计)、 BST (边界扫描测试)和BIST (Build In Self Test,内建自测试)[1]等。从阶段可分为设计阶段测试、生产阶段测试和产品测试[2]。测试技术和测试方法具有通用性和共用性,而阶段性测试则跟被测对象的不同会衍生不同的测试系统尤其是在产品测试阶段[3]。设计阶段测试可借助强大的EDA(Electronic Design Automation,电子设计自动化)工具,生产阶段的测试由集成电路制造商完整的制造体系来保证,但是封装后的数字集成电路的外形各种各样,管脚有多有少,尤其是对SIM (Subscriber Identity Module,用户识别模块)形式封装的数字集成电路的产品外部只有8个管脚可以利用,从而造成了该类产品测试阶段通用性和专用性的矛盾。目前针对SIM封装形式的数字集成电路进行产品测试的系统十分稀缺且都具有共同的不足: 1)没有补偿电路,无法进行回零测试; 2)只能进行电气性能的开短路和漏电流测试,无法进行加压测流和加流测压; 3)只能进行电气性能的测试,无法完成逻辑功能的测试; 4)价格比较高。 结合SIM封装形式的数字集成电路产品测试系统的要求和企业产品的具体应用进行设计开发了一套专用测试系统,实现对SIM封装形式的数字集成电路的逻辑功能测试和电气性能测试,并对测试的结果进行汇总分析形成报告以用于缺陷分析。 2.系统介绍 本测试系统由控制计算机﹑测试电路和测试适配器三部分组成.适用于SIM封装形式下的集成电路的开短路测试﹑工作电流测试﹑输入管脚漏电流测试﹑输出电平测试和基本逻辑功能测试。并且具备16个芯片的并行测试能力。系统框架图如图1所示:

《模拟集成电路设计原理》期末考试

1 《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容Cin为__ CF(1-A) __。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 11、1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,VGS=VTH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当VGS

模拟集成电路设计经典教材

1、 CMOS analog circuit design by P.E.ALLEN 评定:理论性90 实用性70 编写 100 精彩内容:运放的设计流程、比较器、 开关电容 这本书在国内非常流行,中文版也 翻译的很好,是很多人的入门教材。 建议大家读影印版,因为ic 领域 的绝大部分文献是以英文写成的。 如果你只能读中文版,你的学习资料 将非常有限。笔者对这本书的评价 并不高,认为该书理论有余,实用性 不足,在内容的安排上也有不妥的地 方,比如没有安排专门的章节讲述反 馈,在小信号的计算方面也没有巧方法。本书最精彩的部分应该就是运放的设计流程了。这是领域里非常重要的问题,像Allen 教授这样将设计流程一步一步表述出来在其他书里是没有的。这正体现了Allen 教授的治学风格:苛求理论的完整性系统性。但是,作为一项工程技术,最关键的是要解决问题,是能够拿出一套实用的经济的保险的方案。所以,读者会发现,看完最后一章关于ADC/DAC 的内容,似乎是面面俱到,几种结构的ADC 都提到了,但是当读者想要根据需求选择并设计一种ADC/DAC 时,却无从下手。书中关于比较器的内容也很精彩,也体现了Allen 教授求全的风格。不过,正好其它教科书里对比较器的系统讲述较少,该书正好弥补了这一缺陷。Allen 教授是开关电容电路和滤波器电路的专家。书中的相关章节很适合作为开关电容电路的入门教材。该书的排版、图表等书籍编写方面的工作也做的很好。像Allen 这样的理论派教授不管在那所大学里,大概都会很快的获得晋升吧。另外,Allen 教授的学生Rincon Moca 教授写的关于LDO 的书非常详尽,值得一读。 2、 CMOS Circuit Design Layout and Simulation CMOS Mixed-Signal Circuit Design by R.J.Baker 评定:理论性80 实用性100 编写80 精彩内容:数据转换器的建模和测量、hspice 网表这本书的风格和Allen 的书刚好相反: 理论的系统性不强,但是极为实用,甚至给出 大量的电路仿真网表和hspice 仿真图线。 这本书的中文版翻译的也很好。最近出了第二 版,翻译人员换了,不知道翻译的水平如何。 不过,第二版好贵啊~~ Baker 教授在工业界 的实战经验丰富,曾经参加过多年的军方项目 的研发,接收器,锁相环,数据转换器,DRAM 等曾设计过。所以,书中的内容几乎了包含 了数字、模拟的所有重要电路,Baker 教授

集成电路测试系统技术应用

集成电路测试技术应用 集成电路测试系统是一类用于测试集成电路直流参数、交流参数和功能指标的测试设备。根据测试对象的不同,其主要分类为数字集成电路测试系统、模拟集成电路测试系统、数模混合信号集成电路测试系统。集成电路测试系统的主要技术指标有测试通道宽度、测试数据深度、通道测试数据位数、测试速率、选通和触发沿、每引脚定时调整、时钟周期准确度、测试周期时间分辨率、测试应用范围等。 集成电路作为电子信息产业的基础元器件广泛应用于国民经济的各个领域,集成电路测试系统作为集成电路的检测设备在相关产业也必然有着广泛应用。在集成电路制造领域,用于生产过程中晶圆级的中间测试,这时需要自动探针台辅助;用于封装后的成品测试,这时需要自动分选机的配合。在集成电路设计领域,可用于集成电路的设计验证。在集成电路使用领域(民用、军用),大量用于集成电路的入厂检测测试、特性分析测试、器件筛选测试、质量控制测试、可靠性测试等。随着集成电路技术的快速发展,集成电路测试系统的发展趋势是测试速率不断提高;以参数测试为主逐步向以功能测试为主转移;设计更高级别的并行处理功能;采用分布式结构,通过网络实现测试资源共享,增强测试和数据处理能力。 集成电路测试系统的构成主要包括,通道板、管脚电路、波形产生器、波形分析器、定时器、精密测量单元、程控电源、程控负载、测试程序库等。其主要功能就是对各类微处理器(CPU、MCU)、动态存储器、E2PROM、EPROM、PROM、数字接口、数字信号处理器(DSP)、SOC、FPGA、CPLD、A/D、D/A、IC卡、无线通信类、数字多媒体类、汽车电子类等集成电路产品提供直流参数、交流参数和功能指标的测试。 (提供测试系统单位:北京自动测试技术研究所、中国电子科技集团41所)

模拟集成电路设计期末试卷..

《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_ 较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来 表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输 出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制 沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in为__ C F(1-A)__。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,V GS=V TH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当V GS

模拟集成电路实验报告

CMOS放大器设计实验报告 一、实验目的 1.培养学生分析、解决问题的综合能力; 2.熟悉计算机进行集成电路辅助设计的流程; 3.学会适应cadence设计工具; 4.掌握模拟电路仿真方法 6.掌握电子电路、电子芯片底层版图设计原则和方法; 7.掌握使用计算机对电路、电子器件进行参数提取及功能模拟的过程; 8.熟悉设计验证流程和方法。 二、实验原理 单级差分放大器结构如下图所示: 在电路结构中,M2和M3组成了NMOS差分输入对,差分输入与

单端输入相比可以有效抑制共模信号干扰;M0和M1电流镜为有源负载,可将差分输入转化为单端输出;M5管提供恒定的偏置电流。三、实验要求 设计电路使得其达到以下指标: 1.供电电压: 2.输入信号:正弦差分信号 3.共模电压范围为 4.差分模值范围 5.输出信号:正弦信号 6.摆率大于 7.带宽大于 8.幅值增益: 9.相位裕度: 10.功耗: 11.工作温度: 四、差分放大器分析

1、直流分析 为了使电路正常工作,电路中的MOS管都应处于饱和状态。 1.1 M2管的饱和条件: 1.2 M4管的饱和条件: 2.小信号分析 小信号模型如下:

由图可得: 2.1 增益分析 其中 2.2 频率响应分析由小信号模型易知: 其中 3.电路参数计算3.1确定电流 根据摆率指标:

根据功耗指标易知: 根据带宽指标: 综上,取: 3.2宽长比的确定 M4与M5:电流源提供的电流为,参数设为,根据电流镜原理,可以算出 M2与M3: 带入数据可得 取值为20,则取 M0与M1:这两个PMOS管对交流性能影响不大,只要使其下方的

模拟集成电路设计软件使用教程

模拟集成电路设计软件实验教程 月4年2006

1 目录 实验一自上而下(Top-Down)的电路设计 (3) Lab 1.1 启动软件 (3) Lab 1.2 自上而下的系统级仿真 (3) Lab 1.3 电路图输入 (7) Lab 1.4 模块的创建 (10) Lab 1.5 电源的创建 (12) Lab 1.6 建立运放测试电路 (14) 实验二使用Spectre Direct进行模拟仿真 (17) Lab 2.1 运行仿真 (17) Lab 2.2 使用激励模板 (28) Lab 2.3 波形窗的使用 (32) Lab 2.4 保存仿真状态 (36) Lab 2.5 将仿真结果注释在电路图窗口 (37) 2 实验一自上而下(Top-Down)的电路设计Lab 1.1 启动软件 实验目的: 掌握如何启动模拟电路设计环境.

实验步骤: 1.进入Linux界面后,点击鼠标右键,选中New Terminal,则会弹出一个交互终端. 2.进入教程所在目录后,输入命令cd Artist446 (注意:cd后必须有空格;命令行大小写敏感) 3.在同一个交互终端内,输入命令icms &,在屏幕底部会出现一个命令交互窗(Command Interpreter Window,CIW).如果出现What's New窗口,可使用File-Close命令关闭. Lab 1.2 自上而下的系统级仿真 实验目的: 掌握如何对含AHDL模块的模块级设计进行仿真. 实验步骤: 1.在CIW中选择Tool-Library Manager,会弹出库管理器(Library Manager). 2.在库管理器中,用鼠标左键选中training,则cell中会显示出training库中所有的cell;在training 的所有cell中用左键选中peakTestv;用鼠标中键(或右键)打开(open)view中的schematic.将会出现如下图所示的测试电路: 3 点击左当该模块四周出现一高亮黄色虚线框时,将鼠标置于图中peakDetectv模块上,3. . ,则模块四周线框变为白色实线框键选中该模块EditDesign-Hierarchy-Descend 设置Name将View ,,弹出Descend对话框4.选择: peakDetectv模块的电路图OK.为schematic,然后点击则出现

集成电路设计基础 课后答案

班级:通信二班姓名:赵庆超学号:20071201297 7,版图设计中整体布局有哪些注意事项? 答:1版图设计最基本满足版图设计准则,以提高电路的匹配性能,抗干扰性能和高频工作性能。 2 整体力求层次化设计,即按功能将版图划分为若干子单元,每个子单元又可能包含若干子单元,从最小的子单元进行设计,这些子单元又被调用完成较大单元的设计,这种方法大大减少了设计和修改的工作量,且结构严谨,层次清晰。 3 图形应尽量简洁,避免不必要的多边形,对连接在一起的同一层应尽量合并,这不仅可减小版图的数据存储量,而且版图一模了然。 4 在构思版图结构时,除要考虑版图所占的面积,输入和输出的合理分布,较小不必要的寄生效应外,还应力求版图与电路原理框图保持一致(必要时修改框图画法),并力求版图美观大方。 8,版图设计中元件布局布线方面有哪些注意事项? 答:1 各不同布线层的性能各不相同,晶体管等效电阻应大大高于布线电阻。高速电路,电荷的分配效应会引起很多问题。 2 随器件尺寸的减小,线宽和线间距也在减小,多层布线层之间的介质层也在变薄,这将大大增加布线电阻和分布电阻。 3 电源线和地线应尽可能的避免用扩散区和多晶硅布线,特别是通过

较大电流的那部分电源线和地线。因此集成电路的版图设计电源线和地线多采用梳状布线,避免交叉,或者用多层金属工艺,提高设计布线的灵活性。 4 禁止在一条铝布线的长信号霞平行走过另一条用多晶硅或者扩散区布线的长信号线。因为长距离平行布线的两条信号线之间存在着较大的分布电容,一条信号线会在另一条信号线上产生较大的噪声,使电路不能正常工作。、 5 压点离开芯片内部图形的距离不应少于20um,以避免芯片键和时,因应力而造成电路损坏。

论述集成电路测试的意义和作用

论述集成电路测试的意义和作用 物理与电子工程学院电子信息科学与技术专业 2010级 *** 摘要:集成电路测试系统是一类用于测试集成电路直流参数、交流参数和功能指标的测试设备。根据测试对象的不同,其主要分类为数字集成电路[1]测试系统、模拟集成电路测试系统、数模混合信号集成电路测试系统。集成电路测试系统的主要技术指标有测试通道宽度、测试数据深度、通道测试数据位数、测试速率、选通和触发沿、每引脚定时调整、时钟周期准确度、测试周期时间分辨率、测试应用范围等。 关键字:集成电路;集成电路测试;测试服务业 1引言 集成电路测试技术伴随着集成电路的飞速发展而发展,对促进集成电路的进步和广泛应用作出了巨大的贡献。在集成电路研制、生产、应用等各个阶段都要进行反复多次的检验、测试来确保产品质量和研制开发出符合系统要求的电路,尤其对于应用在军工型号上的集成电路,控制质量,保障装备的可靠性,集成电路的检测、筛选过程至关重要。各个军工行业的研究院、所、厂都有自己的元器件检测中心,并引进先进的国产、进口各类高性能集成电路测试设备,负责集成电路在军工行业应用的质量把关,主要的工作就是对国内生产、进口的元器件按照标准要求进行检测,是集成电路使用的一个重要检查站。集成电路测试技术是所有这些工作的技术基础。 集成电路测试基本意义和作用是检验产品是否存在问题。好的测试过程可以将所有不合格的产品挡在到达用户手中之前。 测试失败的可能原因:(1)测试本身存在错误;(2)加工过程存在问题;

(3)设计不正确;(4)产品规范有问题。 2集成电路测试系统的结构 集成电路测试系统的构成主要包括,通道板、管脚电路、波形产生器、波形分析器、定时器、精密测量单元、程控电源、程控负载、测试程序库等。其主要功能就是对各类微处理器(CPU、MCU)、动态存储器、E2PROM、EPROM、PROM、数字接口、数字信号处理器(DSP)、SOC[2]、FPGA、CPLD、A/D、D/A、IC卡、无线通信类、数字多媒体类[3]、汽车电子类等集成电路产品提供直流参数、交流参数和功能指标的测试。 3 集成电路测试 3.1 集成电路测试概述 集成测试就是组装测试。在单元测试的基础上,将所有模块按照设计要求根据结构图组装成为子系统或系统,进行集成测试。测试的目的是检查电路设计和制造的正确与否,为此,需要建立一套规范的描述术语和检查分析方法。集成电路产业是由设计业、制造业、封装业和测试业等四业组成。集成电路测试,包括集成电路设计验证测试、集成电路的中测(晶圆测试[4])和成测(成品测试)、测试程序的研发、测试技术研究交流、测试系统研发和测试人员的技术培训等服务项目。(如图1所示)集成电路测试是对集成电路或模块进行检测,通过测量对于集成电路的输出响应和预期输出比较,以确定或评估集成电路元器件功能和性能的过程,是验证设计、监控生产、保证质量、分析实效以及指导应用的重要手段。

集成电路测试论文

集成电路测试与可靠性设计 结课论文 基于FPGA的图像处理开发板设计 姓名:岑鉴峰 班级:B09212 学号:20094021211

模拟集成电路设计与应用 摘要 近年来,随着集成电路工艺技术的进步,整个电子系统可以集成在一个芯片上。这些变化改变了模拟电路在电子系统中的作用,并且影响着模拟集成电路的发展。随着信息技术及其产业的迅速发展,当今社会进入到了一个崭新的信息化时代。微电子技术是信息技术的核心技术,模拟集成电路又是微电子技术的核心技术之一,因而模拟集成电路成为信息时代的重要技术领域。已广泛应用于信号放大、频率变换、模拟运算、计算机接口、自动控制、卫星通信等领域。 关键词:模拟集成电路;微电子技术;信号放大;频率变换 引言 集成电路是一种微型电子器件或部件。采用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构。 集成电路具有体积小,重量轻,引出线和焊接点少,寿命长,可靠性高,性能好等优点,同时成本低,便于大规模生产。它不仅在工、民用电子设备如收录机、电视机、计算机等方面得到广泛的应用,同时在军事、通讯、遥控等方面也得到广泛的应用。 集成电路按其功能、结构的不同,可以分为模拟集成电路、数字集成电路和数/模混合集成电路三大类。 模拟集成电路又称线性电路,用来产生、放大和处理各种模拟信号(指幅度随时间边疆变化的信号。例如半导体收音机的音频信号、录放机的磁带信号等),其输入信号和输出信号成比例关系。 下面就我所学的和了解到的知识简单的介绍一下模拟集成电路555定时器的设计与应用。 内容 一、模拟集成电路555定时器

相关文档
最新文档