乒乓球模块(2)

合集下载

高一乒乓球模块教学计划

高一乒乓球模块教学计划
11
学生基本掌握正反手发奔球的要领,并有路线的变化
正反手发奔球(直线)
学生示范结合评价,两人一组、自主练习,教师给予指导,观察每位学生练习、纠正错误动作,形成正确地动作定型
12
学生知道正手中台攻斜线球的方法与特点
正手中台攻斜线球
学生根据教师的示范讲解、进行模仿练习,建立动作的概念,两人一组练习、相互交流,巩固动作,教师巡回指导推荐“每课一星”。
12
学生知道正手中台攻斜行模仿练习,建立动作的概念,两人一组练习、相互交流,巩固动作,教师巡回指导推荐“每课一星”。
13
学生基本掌握正手中台攻球的动作要领发展上肢和腰腹力量
了解乒乓球常见运动损伤与防治
运动损伤与防治
对攻
课件展示损伤机理和防治措施。教师适时贯彻科学合理体育观,巡回指导,观察每位学生的练习动作,给予肯定地提示与纠错,使学生形成正确地动作定型,学生体验到成功的乐趣。
9
学生基本掌握一推一攻的方法和路线,培养自主学习与合作学习的能力
一推一攻
学生合作练习,帮助同伴建立良好的动作定型,同时培养学生良好的心理素质。
10
学生知道正反手发奔球的动作要领发展判断力、协调性
正反手发奔球(斜线)
课前播放视频资料,课的开始教师讲解示范,学生模仿练习,形成初始的动作概念,两人一组相互交流,巩固动作,教师巡回指导。结合有固定条件限制的教学比赛。
高一年级男生《体育与健康》乒乓球模块教学计划
泰兴第四高级中学 朱爱军
学 习目 标
1、积极参与乒乓球运动的学习和锻炼。
2、了解现代乒乓球运动的发展趋势。
3、初步掌握乒乓球运动的主要基本技术。
4、提高协调性和灵敏性,全面发展体能。
5、培养良好的合作互助精神。

乒乓球模块教学计划

乒乓球模块教学计划

本模块教学内容的设计,以提高学生乒乓球基本技术的运用能力为目标,,将能力培养和情感体验与运动技术学习进行有机整合。

在教学安排上,充分发挥学生学习的主动性、创造性,注重学生合作学习与自学、探索学习相结合,努力培养学生学习乒乓球的兴趣,形成积极、健康的运动习惯。

为终身体育锻炼打下基础。

体育与健康课程的目标是掌握一定的运动技术、技能,培养学生体育锻炼的能力,而不是单纯追求所谓的运动技术、技能,因此,乒乓球模块的教法根据学生的水平和身体条件的不同,在练习方法和内容上,区别对待,运用语言法、直观法、练习法匡助学生建立技术动作的正确概念,使学生了解所学技术的正确动作过程,掌握必要的动作技术,并能运用所学的简单技术参预到乒乓球运动中去。

人文教育对提高学生综合素质起着重要的作用,是培养青少年健全人格和高尚情操的有效途径。

乒乓球是我国的国球,它的形成与发展有其独特的历史背景和深厚的文化积淀,在奥运会和其它世界重大比赛中,为祖国赢得了优异的成绩。

这对激发学生学习乒乓球的兴趣,形成科学的体育与健康价值观,增强团队精神和哺育社会责任感都是十分有益的。

基于此,本模块内容每学期安排2 课时的理论教育,同时把技术教学与人文教育内容有机结合,相互渗透。

采取合作学习与自主、探索学习相结合的教学方式,是解决乒乓球运动中,运动基础参差不齐、个体之间差异巨大等现象的有效途径之一。

其目的与核心是提高学生的交往与合作能力,学会分享学习经验与合作探索,通过不断总结经验,提高整体协作能力和每一个成员的学习能力。

它的程序:确定学习内容、目标——小组设计学习计划——课堂练习(个人学习、组内交流) ——整合成果——提交小组学习成果,总结、评价。

具体操作建议:1.教师在讲授动作技术时,结合多媒体课件,播放相关技术教学带,指导学生阅读相关知识,分析乒乓球技术原理,形成对正确动作技术重要性的认识。

2.将学生按异质分组原则分成若干组,由组长组织本组成员练习,确保每组有乒乓球技术较好的学生匡助基础较差的学生,以“点”带“面”合作学习,采用现场说技、介绍打球经验、陪练等形式,增强“面”的打球信心,同时促进“点”的乒乓球运动技术、能力的提高,培养学生互帮互学、团结协作的精神。

新课程背景下中学乒乓球模块教学的思考

新课程背景下中学乒乓球模块教学的思考
立 自己良好 的专业形象 , 近与学生 的距 离 , 拉 激发学生参 与乒 乓球 模块教学的兴趣。
13推 陈出新 ,组织好课 堂教学工作 . 1 . . 1乒乓球模块教学组织形式 3
() 4 学生对教学满意度提高 了。对下学期模块选修意向的摸底
调查数据显示 : 学生要求参加乒乓球模块选修人 数增 长了 1%以 0
3 模块教学应有利于培养学生的体育学科 能力。体育学科能 ) 力主要包括主动学 习能力 、 解决 问题 能力 和体育实践能力。“ 授人
鱼, 不如授人以渔”体 育模块教学注重对学生学科能力的培养 , , 是
实现

本 的重要途径。 育
根本 , 也是学生终 身体育 的基 础。片面强 调 以学生为 主 , 视运 不重
中图分类号 : 3 .6 G6 39 实行模块教 学对体育教 师提 出 了新的要
品质 。
求。笔者根据乒乓球选修模块 的教 学实践 , 从乒乓球模块教 学的角 度 分别对教 师专 业化成长 、 如何组织学生进行模块选项 、 块教学 模
的组织以及对学生学>评价 等方面进行 了阐述。 - j 关键词 新课程 乒乓球 模块教 学 实践 思考
过不断的专业化学习、 更新教育教学观念 , 促进 自身专业化成长 , 才 能应对体育模块教学的挑战 。
乒乓球是技术性很强 的项 目, 而且多以合作 的形式进行练 习。 动作差的学生可以观察技术好 的同学的动作方 法并及时请教 , 且使 练 习密度加大 , 能有效提高学 习的兴趣 和掌握动作技能 ; 动作好技 术 强的同学在练 习中能找到当老师的感 觉 , 体验到成功 的快乐 , 并 在练 习中有意识的加大难度 , 出更高 的要求。 提 1 I . 2多采用游 戏性教学 3 传统的乒乓球教 学重视 的是动作的漂亮及 完成动作 的准确性 , 具有很强的竞技要求 。由于过分强调技术的灌输, 教学方法单调刻 板。 学生始终处于被动接受状态, 难免感觉枯燥。 学习兴趣受到打击 , 导致乒乓球教学课变成了体育代表 队的训练课。让竞技运动“ 返璞 归真 ”保持其游戏本 质, , 淡化运动技能教学是体育新课改 的方向。

EDA课程设计_7

EDA课程设计_7

乒乓球游戏电路设计一、乒乓球设计方案(1)我们设计的乒乓球游戏是以一排发光二极管交替发光指示乒乓球的行进路径, 其行进的速度可由输入的时钟信号控制。

乒乓球比赛是甲乙双方的比赛, 所以用两个按键模拟左右两个球拍;我们还要设计一个乒乓球控制模块, 即当发光二极管到最后一个的瞬间, 若检测到对应的表示球拍的键的信号, 立即使“球”反向运行, 如果此瞬间没有接到按键信号, 将给出失败鸣叫, 同时为对方记1分, 并将记分显示出来, 然后由对方重新发球以此类推;还要设计失球计数器的高低位计数模块;乒乓球行进方向控制模块, 主要由发球键控制;还要有一个失球提示发生模块。

(2)要知道乒乓球的游戏规则, 以多少分为一局, 谁先得到一定的分数谁就赢一局, 以此来判断输赢。

(3)用数码管显示双方的得分, 还要设计一个十进制计数器, 来显示分数。

(4)在乒乓球设计方案时还要考虑球速的问题。

二、乒乓球游戏电路的设计程序1.LIBRARY IEEE;--乒乓球游戏顶层文件use ieee.std_logic_1164.all;entity TENNIS isport(bain,bbin,clr,clk,souclk:in std_logic;ballout:out std_logic_vector(7 downto 0);countah,countal,countbh,countbl:out std_logic_vector(3 downto 0);lamp,speaker:out std_logic);end entity TENNIS;architecture ful of TENNIS iscomponent soundport(clk,sig,en:in std_logic;sout:out std_logic);end component;component ballctrlport(clr,bain,bbin,serclka,serclkb,clk:in std_logic; bdout,serve,serclk,ballclr,ballen:out std_logic);end component;component ballport(clk,clr,way,en:in std_logic;ballout:out std_logic_vector(7 downto 0));end component;component boardport(ball,net,bclk,serve:in std_logic;couclk,serclk:out std_logic);end component;component cou10port(clk,clr:in std_logic;cout:out std_logic;qout:out std_logic_vector(3 downto 0));end component;component cou4port(clk,clr:in std_logic;cout:out std_logic;qout:out std_logic_vector(3 downto 0));end component;component mwayport(servea,serveb:in std_logic;way:out std_logic);end component;signalnet,couclkah,couclkal,couclkbh,couclkbl,cah,cbh:std_logic; signalserve,serclka,serclkb,serclk,ballclr,bdout,way,ballen:std_lo gic;signal bbll:std_logic_vector(7 downto 0);beginnet<=bbll(4);ballout<=bbll;lamp<=clk;uah:cou4 port map(couclkah,clr,cah,countah);ual:cou10 port map(couclkal,clr,couclkah,countal);ubh:cou4 port map(couclkbh,clr,cbh,countbh);ubl:cou10 port map(couclkbl,clr,couclkbh,countbl); ubda:board port map(bbll(0),net,bain,serve,couclkal,serclka);ubdb:board port map(bbll(7),net,bbin,serve,couclkbl,serclkb);ucpu:ballctrlportmap(clr,bain,bbin,serclka,serclkb,clk,bdout,serve,serclk, ballclr,ballen);uway:mway port map(serclka,serclkb,way);uball:ball port map(clk,ballclr,way,ballen,bbll);usound:sound port map(souclk,ballen,bdout,speaker);end ful;2.library ieee;use ieee.std_logic_1164.all;entity sound isport(clk:in std_logic; --发声时钟sig:in std_logic; --正确接球信号en:in std_logic; --球拍接球脉冲sout:out std_logic); --提示声输出, 接小喇叭end entity sound;architecture ful of sound isbeginsout<=clk and (not sig) and en;--球拍接球, 没接到时, 发提示声end ful;3.library ieee; --总控制模块use ieee.std_logic_1164.all;entity ballctrlisport(clr:in std_logic; --系统复位bain:in std_logic; --左球拍bbin:in std_logic; --右球拍seclka:in std_logic; --左拍准确接球或发球serclkb:in std_logic; --右拍准确接球或发球clk:in std_logic; --乒乓球灯移动时钟bdout:out std_logic; --球拍接球脉冲serve:out std_logic; --发球状态信号serclk:out std_logic; --球拍正确接球信号ballclr:out std_logic; --乒乓球灯清零信号ballen:out std_logic); --乒乓球灯使能end entity ballctrl;architecture ful of ballctrl issignal bd:std_logic;signal ser:std_logic;beginbd<=bain or bbin;ser<=serclka or serclkb;serclk<=ser;--球拍正确接球信号bdout<=bd; --球拍接球脉冲process(clr,clk,bd)beginif(clr='1')then --系统复位serve<='1'; --系统处在职发球状态ballclr<='1'; --乒乓球灯清零elseif(bd='1')then --系统正常--球拍发球或接球时ballclr<='1'; --乒乓球灯清零if(ser='1')then --球拍发球或准确接球ballen<='1'; --乒乓球灯使能允许serve<='0'; --系统处在接球状态else ballen<='0';serve<='1'; --系统处在发球状态end if;else ballclr<='0';--没发球或接球时乒乓球灯不清零end if;end if;end process;end ful;4.--乒乓球灯模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ball isport(clk:in std_logic; --乒乓球灯前进时钟clr:in std_logic; --乒乓球灯清零way:in std_logic; --乒乓球灯前进方向en:in std_logic; --乒乓球灯使能ballout:out std_logic_vector(7 downto 0));--乒乓球灯end entity ball;architecture ful of ball issignal lamp:std_logic_vector(9 downto 0);beginprocess(clk,clr,en)beginif(clr='1') then lamp<="1000000001";--清零elsif en='0' thenelsif (clk'event and clk='1')then--使能允许, 乒乓球灯前进时钟上升沿if(way='1') then lamp(9 downto 1)<=lamp(8 downto 0);lamp(0)<='0';--乒乓球灯右移else lamp(8 downto 0)<=lamp(9 downto 1); lamp(9)<='0';--乒乓球灯左移end if;end if;ballout<=lamp(8 downto 1);end process;end ful;5.--乒乓拍模块library ieee;use ieee.std_logic_1164.all;entity board isport(ball:in std_logic; --接球点, 也就是乒乓球灯的末端net:in std_logic; --乒乓球灯的中点, 乒乓球过中点时, counclk、serclk复位bclk:in std_logic; --球拍接球信号serve:in std_logic; --发球信号couclk:out std_logic;serclk:out std_logic);end entity board;architecture ful of board isbeginprocess(bclk,net)beginif(net='1')then serclk<='0';couclk<='0';--乒乓球过中点时, counclk、serclk复位elsif(bclk'event and bclk='1')then—球拍接球时if(serve='1')then serclk<='1';--系统处于发球状态时—发球成功else—系统处于接球状态if(ball='1')then serclk<='1';--乒乓球刚落在接球点上, 接球成功else serclk<='0';couclk<='1';end if;end if;end if;end process;end ful;6.--十进制计数器用来做失球低位计数library ieee;use ieee.std_logic_1164.all;entity mway isport(servea:in std_logic;serveb:in std_logic;way:out std_logic);end entity mway;architecture ful of mway isbeginprocess(servea,serveb)beginif(servea='1')then way<='1';elsif(serveb='1')then way<='0';end if;end process;end ful;7、--四进制计数器用来做失球高位计数library ieee;use ieee.std_logic_1164.all;entity mway isport(servea:in std_logic;serveb:in std_logic;way:out std_logic);end entity mway;architecture ful of mway isbeginprocess(servea,serveb)beginif(servea='1')then way<='1';elsif(serveb='1')then way<='0';end if;end process;end ful;8、--乒乓球前进方向产生模块library ieee;use ieee.std_logic_1164.all;entity mway isport(servea:in std_logic;--左选手发球信号serveb:in std_logic;--右选手发球信号way:out std_logic);--乒乓球灯前进方向信号end entity mway;architecture ful of mway isbeginprocess(servea,serveb)beginif(servea='1')then way<='1';--左选手发球方向向右elsif(serveb='1')then way<='0';--右选手发球方向向左end if;end process;end ful;三、乒乓球游戏程序的仿真波形仿真波形图四、硬件测试方法然后我们就要测试硬件, 下载文件。

模块教学法在乒乓球教学中的应用策略

模块教学法在乒乓球教学中的应用策略
关键词:乒乓球;模块教学法;应用策略
乒乓球具有很强的技术性,需要运动员之间积极开展配合 练习,技术动作差的学生需要学会观察,立足于对实际情况的 研究,分析技术动作好的同学的技巧,加大练习密度,激发学 生学习的兴趣,帮助学生尽快掌握动作技能,动作好技术强的 同学则是需要加强自身锻炼,不断练习,指导技术动作差的同 学,在练习的时候不断给自己加大难度。据研究可知,传统的 乒乓球教学的过程中一般都比较重视动作的美观程度,同时也 对动作的准确性提出了更高的要求,但是这种强调技术的灌输 的模式,也会打击学生的积极性,教学方法过于单一,学生只 能被动接受理论知识,无法将理论与实践结合起来,最后弱化 了乒乓球教学课的具体作用。首先,模块教学法对于传统的体 育教学模式进行改革和发展,切实做到了以学生为本,根据学 生的具体爱好,在此基础上展开体育教学,丰富现代化教学的 内容。众所周知的是,乒乓球是国球,在体育模块教学方式的 运用下,可以激发学生对乒乓球的兴趣,增强学生的体育技能。 一、模块教学在乒乓球教学中的意义
模块教学属于目前典型的教学模式之一,也是新课标最新 提出的要求,是在一个相对独立的教学单位内部所提出的,教 学的时候,教师需要从这个角度出发,立足于对实际情况的研 究,根据学生的实际情况来制定合理的教学方案,在规定的时 间范围内安排教学,早日达成教学目标,安排科学合理的教学 步骤。
( 一 ) 促进学生个性的快速发展 在模块教学中,学生可以完全按照自己的个性特点,结合 自身现阶段学习的实际情况,合理运用自己的优势,选择自己 感兴趣的体育项目,而不是只能被动接受。这种教学模式完全 以学生为主,在最大程度上适应了学生的心理需求,减轻了学 生的压力和负担。 ( 二 ) 有利于乒乓球教师水平的提高 模块教学对于体育老师来说,属于全新的挑战和体验,教 师首先需要具备良好的身体素质和全面的技能,但是并不是所 有老师都擅长所有的运动项目,老师们都是各有所长,而模块 教学的出现和应用就可以帮助学生发挥出自己的特长,深入了 解教材结构和特点,结合自身的实际情况向学生进行体育知识 的讲解,同时也有利于提升体育教师的专业素质,在模块教学 中体会到乐趣,实现教学相长。 二、模块教学法在乒乓球教学中的应用策略 ( 一 ) 优化乒乓球教学的设施和环境 模块教学与传统教学模式相比具有明显的差距,首先体现 在对硬件设施和人力素质的要求方面。模块教学对各个方面都 提出了更高的要求,学校需要从实际情况出发,帮助教师意识 到开展模块教学的关键之处,而且要给予硬件设施的支持。很

高中模块(乒乓球2)教案Word版

高中模块(乒乓球2)教案Word版

晋江平山中学体育选修课教案(水平五)部分时间内容组织教法备注基本部分34分钟1、乒乓球运动简介2、学习乒乓球握拍方法1)直拍快攻型握拍拍前:以食指第二指节和拇指第一指节扣拍。

拍后:其他三指自然弯屈,中指第一指节贴于拍的背面。

2)直拍削球握拍拍前:大拇指弯屈紧贴拍柄的左侧,并用力压拍。

拍后:其他四指自然分开,托住拍的后面。

3)横拍握拍中指、无名指和小指自然地握住拍柄拇指在拍的正面轻贴在中指旁边;食指自然伸直斜放于球拍的背面。

1、讲解、示范队形:x x x x x x xx x x x x x x▲2、介绍球拍性能3、讲解、示范直拍、横拍握拍方法4、指导学生持拍动作5、持拍练习1)练习队形:x x x x x x xx x x x x x x▲2)持拍向内、向外旋腕练习各10次×5组3)持拍抖腕练习10次×5组4)持拍前臂前推练习10次×5组部分时间内容组织教法备注基本部分34分钟3、熟悉球性1)托球练习2)持拍向上颠球3)对墙击球(距墙1.5米)4、身体素质练习6、球性练习1)托球走练习←xx ↑↓ xx→四人一组,沿球台走10圈2)持拍颠球500次3)对墙击球20次×5组7、向上托球接力赛x x x ○x x x ○每队用一个球,比赛2次8、腹卧撑(女生做高位腹卧撑)10次×2组结束部分3分钟1、放松练习2、课后小结3、布置作业4、师生再见1、结束队形x x x x x x xx x x x x x x▲2、调整呼吸,同时两臂向两侧放松摆动20次3、作业:推球、抽球模仿动作各100次晋江平山中学体育选修课教案(水平五)部分时间内容组织教法备注基本部分36分钟1、学习基本站位和基本步法1)基本站位近台——50厘米中台——70厘米远台——100厘米以上2)单步移动3)换步移动4)跳步移动1、讲解、示范队形:x x x x x x x▲x x x x x x x2、讲解示范乒乓球基本站位和基本步法3、模仿动作练习(配合挥拍动作)1)单步移动——搓球、削球动作15次2)换步移动——抽球动作15次3)跳步移动——抽球动作15次4)侧身步移动——抽球动作15次5)指导练习、纠正动作4、讲解示范正手发平击球5、练习1)正手发平击球模仿动作练习30次×2组2)抛球练习20次x x x x x x xx x x x x x x▲部分时间内容组织教法备注基本部分36分钟5)侧身步移动6)步法移动要领脚跟稍提,两膝内收,两脚内侧着地。

乒乓球模块1教案

乒乓球模块1教案

南沙中学乒乓球模块(一)教案任课教师:班级:高中课次:1学习目标1、初步掌握乒乓球的游戏的玩法,熟悉球性。

2、发展上下肢、胸背肌力量,发展柔韧性和灵活性。

3、培养学生的团结协作精神和凝聚力。

学习内容1、乒乓球游戏2、教学比赛。

场地器材乒乓球20个、乒乓球台9个。

顺序达成目标学习内容教师活动学生活动时间1 1、培养学生自觉守纪的良好精神品质。

2、营造课堂气氛,激发学习兴趣课堂常规:1、体育委员整队。

2、师生问好、检查人数。

3、宣布本次课的内容、任务及要求。

4、安排见习生。

1、指定集合地点整队,检查服装。

2、向学生问好。

3、提出教学目标和要求。

4、安排见习生。

组织:要求:快、静、齐、精神饱满。

2’2 1、提高身体运动前的机能水平,逐渐达到运动前的水平状态。

2、把各关节活动充分,进入运动状态。

1、慢跑2、徒手操a、关节绕环b、扩胸运动c、体侧运动d、体转运动e、踢腿运动f、腰腹运动g、正侧压腿3、活动操或游戏1、队形整齐。

2、教师带操。

留意练习情况。

要求提高质量。

3、动作到位。

4、四列横队的体操队形要求:教师叫口令领操。

1、听口令认真做练习,活动全身各部位。

2、学习掌握正确的热身方法,增加知识,使自己在以后的课外活动中能有效地做好准备活动。

3、提高运动前的兴奋性,增加积极性。

5’3 1、初步掌握乒乓球游戏的玩法。

2、熟悉球性1、乒乓球游戏。

2、熟悉球性。

1、教师讲解、示范技术要领;2、教师组织学生进行练习;3、教师巡回视导,纠正错误动作。

1、学生认真听教师的讲解2、在教师带领下玩游戏。

12上课日期:201年月日教研组长签字:.南沙中学乒乓球模块(一)教案任课教师:班级:高中课次:2学习目标1、初步熟悉球性。

2、发展上肢、胸背肌力量,发展柔韧性和灵活性。

3、培养学生的团结协作精神和凝聚力。

学习内容1、乒乓球原地球性练习、花式乒乓球。

2、教学比赛。

场地器材乒乓球20 个、乒乓球台9个。

顺序达成目标学习内容教师活动学生活动时间1 1、培养学生自觉守纪的良好精神品质。

乒乓球教学模块

乒乓球教学模块

黄梅一中新课标单元计划1课时教学目标教学方法1. 理论讲授2. 游戏:球性练习托球接力1. 步法练习:单步练习2. 介绍准备姿势:站位、身体姿势、球的部位。

3. 示范发平击球(请一位学生配合练习)4.简讲要领及重、难点5.学生模彷练习6. 自主练习,每人发三个球轮换7. 老师巡回辅导8 .抽评1. 步法练习:跨步练习2. 请学生示范 ,学生分析3提示重、难点4.二人一组自主练习(一人连发三个球轮换)5. 老师巡回辅导6. 抽评学生基本掌握接球动作及 1.步法练习:跳步练习击球部位,培养合作精神。

2.请学生一起示范接发平击球3.学生模彷练习4.学生自主练习。

(每人接三个球轮换循环练习)5.老师巡回辅导6.学生评价7.评本课之星学生基本掌握推挡动作, 1.步法练习:并步练习培养自主、团结合作精神 2.请学生一起示范推挡球3.学生自主模彷练习4.老师巡回辅导5.抽评。

学生互相评价6.根据学生推挡的次数评本课之星。

学生基本掌握推挡动作, 1.步法练习:交叉步练习培养自主、团结合作精神 2.学生示范,互评3.学生自主练习4.老师巡回辅导5.抽评。

学生互相评价6.根据学生推挡的次数评本课之星。

456后向前,拍触球时,拍面与台面近乎垂直,在上升期击球的中部,借助对方来球的反弹力将球挡回。

击球后,迅速还原,准备下一次击球。

重点:球拍横状立,手臂前伸迎球击球难点:上升期击球的中部教学目标通过学、练使学生初步了解和掌握乒乓球的发球、接发球、推挡、攻球、搓球等技术及裁判知识,发展学生的速度、力量、灵敏、协调等素质。

培养学生合作精神,增强学生勇敢、果断、顽强和积极进取的意志品质和竞争意识。

教学内容1乒乓球基础知识:1. 乒乓球运动的特点、起源。

2. 介绍本模块的内容、要求及如何制定学习目标3. 介绍握拍法横拍、直拍。

4. 球性练习。

通过学习使学生能了解乒乓球的特点、起源、教学内容及学习目标。

激发学生学习的兴趣。

通过学、练使学生进一步掌握发球技术。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

乒乓球模块教学计划
一、学情分析
高一年级男生较多,对乒乓球比较喜欢,有几个同学具备了良好的基础,球感强,技术比较熟练,女生兴趣不高,大部分不喜欢运动,积极性有待提高。

男生比较稳定,遵守纪律,活动的欲望没有高年级男生强烈,而且会打球的人寥寥无几,个别人身体协调能力低。

女生比较文雅,身体素质低,有待进一步加强。

二、教学目标
1、培养对乒乓球运动的兴趣,经常参与体育活动,了解锻炼对健康的益处
2、了解并掌握乒乓球反手发球技术
3、培养学生不怕困难、刻苦锻炼的意志品质
三、教学内容
学习推挡球技术,体能练习
四、重点难点
重点:手脚动作配合
难点:身体协调性
五、课的流程
球性练习→学习平击发球技术→学习推挡球技术→复习教法移动→体能练习→放松练习
六、场地与器材
(1)、乒乓球场地6片
(2)、乒乓球拍24只
(3)、乒乓球48个
乒乓球模块(水平五)
*东厦中学乒乓球选项教学(水平五)教案(8课时)。

相关文档
最新文档