数电实训彩灯控制电路设计

数电实训彩灯控制电路设计
数电实训彩灯控制电路设计

桂林电子科技大学信息科技学院《数字逻辑电路》实训报告

学号 1051100425

姓名

指导教师:邹老师覃老师

2010 年 07 月 13 日

多路彩灯控制电路

1.整机设计

1.1 设计要求

(1)功能要求:八个彩灯用8个放光二极管代替;

(2)设置外部操作开关,它具有控制彩灯亮点的右移、左移、全亮及全灭等功能;

(3)彩灯亮点移动时间间隔取1秒;

(4)彩灯的布图形状随意;

(5)让学号的最后两位编码点亮相应的灯,能实现循环左右移,可控制彩灯亮灭速度

1.1.1 设计任务

通过查找资料设计彩灯的原理图﹑PCB图使其能实现全亮﹑全灭﹑左移﹑右移等功能,让学号的最后两位编码点亮相应的灯,能实现循环左右移,可控制彩灯亮灭速度

1.1.2 性能指标要求

彩灯亮点的时间间隔为1秒,占空比为50%

1.2 整机实现的基本原理及框图

1.2.1 基本原理

通过两片集成双向移位寄存器74LS194和拨码开关控制右移﹑左移和一个拨码开关进行预置端让其全亮﹑全灭和一个由555芯片构成的CP产生电路其主要原理框图如下:

1.2.2 总体框图

总体框图

2.各功能电路实现原理及电路设计

(1)彩灯演示电路

2片移位寄存器74LS194级联实现。其八个输出信号端连接八个300欧电

阻(保护发光二极管)和八个发光二极管。其电路图如下

彩灯演示电路图

(2)彩灯控制电路

移位寄存器是一个具有移位功能的寄存器。寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。既能左移又能右移的叫双向移位寄存器。根据移位寄存器存取信息的方式不同分:串行串出,串入并出,并入串出,并入并出4种形式。

本电路由2片移位寄存器74LS194级联实现。其八个输出信号端连接八个300欧电阻(保护发光二极管)和八个发光二极管和一片74LS04(控制彩灯循环亮的作用)和拨码开关控制输入的高低电平。其图如下:

彩灯控制电路图

CP 移位脉冲动=输入端 CR 非异步置0低电平有效 工作方式控制端

S1 S0 = 00 时,保持功能。 S1 S0 = 01 时,右移功能。 S1 S0 = 10时,左移功能。

S1 S0 = 11 时,并行置数功能。 DSR 右移串行数码输入端

Q 3 Q 2 Q 1 Q 0 S S D D S R

C

D 3 D 2 D 1 D 0 CR CR CR

D SL

D SR

CP

Q 0 Q 1 Q 2 Q 3

S 1 S 0 D 0 D 1 D 2 D 3

74LS194功能表

(3)CP 产生电路

由555定时器构成的多谐振动器,电路没有稳态,只有两个暂稳态,电路也不需要外加触发信号,利用电阻和电容也产生放电和充电电容C 在1/3VCC 和2/3VCC 之间充电和放电。

方案一:由555定时器接成多谐振动器。其图如下:

555定时器接成多谐振动器图

振动周期:振荡周期:T =0.7(R 1+2R 2)C 输出脉冲占空比: B

A A

R R R q +=

方案二:由555定时器接成多谐振动器但其占空比可调。其图如下

(4)电源输入接口:由一个接口构成便于接电源。其电路图如下:

电源输入接口

(5)总体电路

3.制作与调试过程

第一步,找材料理解每一部分电路的结构和功能,在原有的基础上加上自己所要求的,填写自己所需的元器件的清单。

第二步,画原理图,不懂的及时找辅导老师或自己查找材料并或者向其他同

学询问画出正确的原理图。

第三步,把原理图导入到PCB版去并合理的摆放元器件,认真核对原理图和PCB图的管脚是否一一对应,根据试验板的大小在PCB板上设计大小,以及合理的设置走线规则和焊盘大小,不同的器件注意不同的焊盘大小,各个网络线的大小不同,走线时应尽量避免自动布线和走直角。

第四步,用砂纸把铜板上的氧化物磨掉。打印并转印PCB图到铜板上,一般转印两次(150°C时)如果转印的不是很理想应在腐蚀前拿油性笔把不好的线补起。腐蚀时应注意人体不要和腐蚀液接触而且不要腐蚀过久。

第五步,打孔,选择孔针为1毫米,以便于插入芯片等较大的管脚,打孔时要注意用力要均匀,要在板干的时候打孔,不要把放元器件的那面板打鼓起来。

第六步,把板上的油墨擦掉,并清洗干净。在铜板的那面擦上松香水以防止铜板氧化,晾干铜板。

第七步,焊接元器件,在焊时注意,注意元器件的管脚,尤其是芯片是否对准,尤其注意精调电阻、开关、极性电容等的管脚。在电路组装和焊接的过程中注意不要将VCC与GND短接导致电路损坏,最后插入芯片时注意不要装反,以免损坏芯片。

4.电路测试

4.1 测试仪器与设备:万能表﹑上学期制作的直流电源电路一块

4.2 指标测试:拨动开关4看其是否可实现全亮﹑全灭。对电路板进行预置后进行调试看其是否能实现左移和右移

5.实训心得体会

在电路组装和焊接的过程中最大的问题是当初画原理图以及布局的时候不小心将开关以及精调电阻的管脚设置错误,导致开始时电路板不能正常的工作,最后选择了外接开关以及外接精调电阻,却又不小心损坏了焊盘,且不小心将GND与VCC焊在了一起,不得已重做了一块板子,最后解决了问题,前期任务的不小心得到了教训。。

通过这次数字逻辑电路的实训的设计,使我受益很多,也因为我的不小心得到了很多教训。更加牢固的掌握了我们上课时所作的实验,进一步了解了74LS194和74LS04以及NE555的工作原理和注意事项,74LS194和74LS04以及NE555芯片的工作电压在4.5V和5.5V之间。既巩固了课堂上学到的理论知识,又掌握了常用集成电路芯片的使用。在此基础上学习了数字逻辑电路设计的基本思想和方法。学会了科学的分析实际问题,通过查资料,分析资料及请教老师和文同学等途径,发现问题,解决问题。同时也培养了我认真学习的作风。

6.参考文献

(1)新编数字逻辑电路北京邮电大学出版社江国强编著

(2)百度资料

附录:

附录1:元件明细表

附录2:电路原理图

附录3:PCB图

元件明细表

电路原理图

PCB图

PCB图

彩灯控制器·设计

目录 一.系统设计概述 (1) 1.实践要求: (1) 2.原理分析与电路方框图: (1) 二.原件选择与电路设计 (2) 1.脉冲发生电路 (2) 2.计数控制电路 (4) 3.译码驱动电路 (5) 4.储存单元 (6) 5.计数器显示电路 (7) 6.显示矩阵 (8) 三.电路的安装与测试 (8) 1.电路连接: (8) 2.电路调试: (9) 四.总结 (10) 附表1:原件清单 (11) 附表2:图片程序源码 (12) 附表3:总电路图 (14) 附表4:电路实物 (15) 参考文献 (16)

一.系统设计概述 1.实践要求: 通过对硬件编程,将图形、文字、动画存储在E2PROM中,通过计数器控制图形、文字、动画的地址,在利用显示矩阵显示出来。系统所显示的内容可反复循环,直至手动或加压清零,便可回到初始地址。 1)设计脉冲产生电路、图形控制电路和存储电路; 2)用发光二极管点阵(8×8)作为显示电路,显示内容的动面感要强。 3)图形能连续循环,图形大于64幅,图形显示间隔在20ms~2s范围内连续可调; 4)能手动和加压清零功能,有自动选画功能; 5)完成电路全部设计后,通过实验箱验证设计课题的正确性 2.原理分析与电路方框图: 通过对实验要求的解读,可知实践需要通过对EEPROM编程来控制一个8X8LED的矩阵输出存在EEPROM中的各种图形或者文字。因此就需要分别用8个地址线来分别控制点阵的行与列。本次实践中,我们使用74LS138及EEPROM来实现对行列的控制。 由于人类视觉暂留实践为20ms,因此将点阵的列设计成高频的刷新电路,行设计成低频的换面切换电路,这样就能够显示出清晰,可变的图形。显然,我们可以用译码器来控制列,刷新点阵。用EEPROM来控制行,来输出图形。 点阵中的LED灯是低电压导通,因此应该把阴极定位列,阳极定为行。 频率控制电路可以利用基于555振荡器的多谐振荡器加计数器来实现。高频频率应为400~500Hz,根据要求所知,图形间隔在20ms到2s之间,因此低频频率应为5Hz~50Hz。 在列方面,我们使用74LS161的二进制计数器来实现对译码器的控制即可; 在行方面,由于要求显示出64幅的画面,实际我们设计了80幅画面,因此我们使用一个16*5进制的计数器,用两片74LS161同步CP端且用置数法来实现,并用显示电路来显示低位、高位计数器的计数情况,地位控制每幅画面,高位控制每组画面。并用通过对高位芯片置数端,清零端的控制来实现要求中的选

小彩灯控制电路设计

实验5 彩灯控制电路 一、实验目的 1. 掌握彩灯控制电路的设计和实现; 2.综合运用所学器件进行简单电路的设计; 3.熟练掌握74LS00、74LS86、74LS90、74LS138的综合应用。 二、实验设备 1、函数信号发生器 2、数字双踪示波器 3、集成电路:74LS00 4、集成电路:74LS86 5、集成电路:74LS90 6、集成电路:74LS138 7、发光二级管、电阻、开关等 三、实验内容 1、彩灯控制电路要求控制4个彩灯; 2、两个控制信号:S1S0= 00 灯全灭; S1S0=01 右移,循环显示; S1S0=10 左移,循环显示; S1S0=11 灯全亮。 四、实验结果 1.彩灯控制电路简单的系统框图介绍: ↓ ↓

↓ 2.详细设计思路: S1S0每个状态下4个彩灯有四种状态变化,用74LS90产生脉冲,按照四进制接法,接入74LS138作为74LS138芯片的驱动信号,然后进行译码操作,从而实现彩灯的控制电路的设计,下面列出该实验的真值表 其中:QA、QB为74LS90的输出端,G1为74LS138的控制端,A、B、C为输入端,Y0-Y7为输出端,X0、X1、X2、X3为四个彩灯的状态显示,0表示灭,1表示亮。 3.彩灯控制电路逻辑真值表: 彩灯控制电路的真值表

4.由此可以得到相应的逻辑关系如下: C=S1 B=QA A=QB G1=S1⊕S0 X0=Y0+Y4+S1S0 X1=Y1+Y7+S1S0 X2=Y2+Y6+S1S0 X3=Y3+Y5+S1S0 5.实验仿真电路图如下所示: (1)其中函数信号发生器设置为方波,1Hz; (2)开关S1中上面为S1,下面为S0,左拨为0,右拨为1; (3)四个彩灯使用红色发光二极管显示,从左到右的循环等价于图中从上到下的循环,从右到左的循环等价于图中从下到上的循环显示,另外每个二极管各添加了一个500欧的电阻来限制电流,防止二极管烧坏。

彩灯控制器的设计实验报告

专业班级 院系物理与电子信息学院 姓名学号同组人 实验室组号日期 成绩 课程单片机原理与应用指导老师肖鹏程 试验项目编号 试验项目名称多功能彩灯控制器的设计一、实验目的 1.熟悉Keil uVision2软件的安装和应用; 2.熟悉Proteus7.8软件的安装和应用; 3.掌握《单片机多功能彩灯控制器的设计》的编程、仿真和调试方法。 二、实验环境 1.微机一台; 2.Proteus7.8电路设计和仿真软件; 3.Keil uVision2编译和调试软件; 三、实验原理 图1是单片机多功能彩灯控制器的电路原理图,P2接8只LED,限流电阻为220Ω,时钟电路接在单片机的DIP18、DIP19,复位电路接在单片机的DIP9, DIP31接Vcc。 要求用C语言编写程序,使该电路的功能为,上电后8只LED的显示状态为:【○○○○○○○●】→【○○○○○○●○】→【○○○○○●○○】→【○○○○●○○○】→【○○○●○○○○】→【○○●○○○○○】→【○●○○○○○○】→【●○○○○○○○】→【○○○○○○○○】, 时间间隔为300mS,循环往复。

图1单片机输出控制电路原理图 多功能彩灯控制器的参考程序如下: #include unsigned char code table001[]={128,64,32,16,8,4,2,1,0}; void delay300ms(); main() { unsigned char m; while(1)

{ for(m=0;m<=8;m++) { P2=~table001[m]; delay300ms(); } } } void delay300ms() { unsigned char i,j,k; for(i=3;i>0;i--) for(j=200;j>0;j--) for(k=248;k>0;k--); } 四、实验步骤 1.安装Keil uVision2; 2.安装Proteus 7.8; 3.在Proteus ISIS环境下设计一个用单片机的I/O口(P2)作为Output控制8只LED的电路; 4.在Keil uVision2环境下,用C语言编写多功能彩灯控制器的程序,编译生成hex文件。当出现错误时,使用Debug调试和修改程序,直到生成hex文件。5.将生成的hex文件加载到单片机,运行仿真,观察现象,记录结果; 五、实验记录与处理 1. 多功能彩灯控制器的实验结果(仿真)如下: 时间LED工作状态时间LED工作状态 0 0

彩灯控制器课程设计数电

电子技术课程设计 ---彩灯控制器 学院:电子信息工程学院 班级: 学号: 指导教师:

彩灯控制器 一、设计任务与要求: 设计一个彩灯控制器,要求: 1.四路彩灯从左向右逐次渐亮,间隔为1秒。 2.四路彩灯从右向左逐次渐灭,间隔为1秒。 3.四路彩灯同时点亮,时间间隔为1秒,然后同时变暗,时间为1秒,反复4 次。 二、总体框图 图(1)总体框图 根据设计要求,电路设计大体思路如下: 由脉冲发生器发出频率脉冲信号,利用计数器加法计数功能输出0000~1111的脉冲信号,经过数据选择器分别在0000~0011,0100~0111,1000~1111三个时段输出不同的高低电平,控制移位寄存器实现右移→左移→置数功能,从而控制

彩灯按照设计要现亮灭。 三、选择器件 本次课程设计所用器件如表一: 表一本次课程设计所用器件 1.同步二进制计数器74LS163

表二7-3 74LS163功能表 根据逻辑图、波形图、功能表分析,74LS163具有如下功能: 管脚图逻辑符号 1)1是同步4位二进制加法计数器,M=16,CP上升沿触发 2)2既可同步清除,也可异步清除。同步清除时,清除信号的低电平将在下一 个CP上升沿配合下把四个触发器的输出置为低电平。异步清除时,直接用 清除信号的低电平把四个触发器的输出置为低电平。 3)3同步预置方式:当LD = 0时,在CP作用下,计数器可并行打入预置数据. 当LD = 1时,使能输入PT同时为高电平,在CP作用下,进行正常计数。 4)PT任一为低时,计数器处于保持状态。 5) 5 CO为进位输出,可用来级联成n位同步计数器。 2.四位双向移位寄存器74LS194

节日彩灯控制器设计

石家庄铁道大学四方学院 集中实践报告书 课题名称 节日彩灯控制器设计 姓 名 吴春慧 学 号 20137762 系、 部 电气工程系 专业班级 方1353-2 指导教师 牛晓燕 2016 年 7 月 6 日 ※※※※※※※※ ※ ※ ※ ※※ ※※ ※ ※ ※ ※ ※※※※ ※ 2013级 单片机接口设计课程设计

一、设计任务及要求: 设计任务: 设计一个节日彩灯控制器,通过按钮控制彩灯的各种变化。 设计要求: 1.设计4个按键S0、S1、S2、S3, S0—开始按此键则灯开始流动(由上而下); S1—停止,按此键则停止流动,所有灯为暗; S2—上,按此键则灯由上向下流动; S3—下,按此键则灯由下向上流动。 2.由按键控制功能的流水灯,其中的LED采取共阳极接法,通过依次向连接LED 的I/O口送出低电平,可实现题目要求的功能。 3.要求做出实物。 二、指导教师评语: 三、成绩 指导教师签名: 年月日

目录 第1章设计目的 (2) 第2章设计要求 (2) 第3章硬件电路设计 (2) 3.1 系统结构框图 (2) 3.2 STC89C52单片机 (3) 3.3 总电路图 (4) 第4章软件设计 (5) 4.1 主程序设计 (5) 4.2 LED灯子程序设计 (7) 4.2 延时子程序设计 (7) 4.3 源程序 (8) 第5章结论 (10) 参考文献 (11)

第1章设计目的 1、掌握单片机实际系统的开发步骤。 2、熟悉节日彩灯控制器的工作原理。 3、加深对单片机汇编语言的认识和理解,并会编程。 第2章设计要求 1、设计4个按键S0、S1、S 2、S3, S0—开始按此键则灯开始流动(由上而下); S1—停止,按此键则停止流动,所有灯为暗; S2—上,按此键则灯由上向下流动; S3—下,按此键则灯由下向上流动。 2、由按键控制功能的流水灯,其中的LED采取共阳极接法,通过依次向连接LED的I/O口送出低电平,可实现题目要求的功能。 3、要求做出实物。 第3章硬件电路设计 3.1 系统结构框图 节日彩灯控制系统主要由单片机、LED显示器、开关及电源组成。其结构框图如图3-1所示。 八个LED灯显示器 开关单片机最小系统5V电源 图3-1系统结构框图

8个彩灯控制电路设计

课程设计(论文) 题目名称8个彩灯控制电路设计 课程名称单片机原理及接口技术 学生姓名何辉 学号0941201058 系、专业电气工程系测控类 指导教师杨波 2011年6 月25 日

邵阳学院课程设计(论文)任务书 年级专 业 09级电气工程系学生姓名何辉学号0941201058 题目名称8个彩灯控制电路设计计时间2011年6月7日—2011 年7月3日 课程名称单片机原理及在 电气测控学科中 的应用 课程编号121200105 设计地点 数字控制与PLC实验 室\创新实验室 (214)(305) 一、课程设计(论文)目的 通过课程设计,进一步熟悉和掌握AT89S51单片机的结构及工作原理,掌握以单片机核心的电路设计的基本方法和技术,了解表关电路参数的计算方法。通过完成一个包括电路设计和程序开发的完整过程,使学生了解开发一单片机应用系统的全过程,通过此综合训练,为以后毕业设计打下一定的基础。为今后从事相应打下基础。 二、已知技术参数和条件 1、系统电路的构成用AT89S51单片机和中规模集成芯片,及电子元件。 2、WA VE 软件或KEIL 软件编译 三、任务和要求 任务: 用AT89S51单片机设计设计一个8个彩灯控制电路。 要求: 1 从左到右排列,编号为1~8号。系统启动后,灯管点亮的顺序依次为:1号→2 号→3号→...→7号→8 号,时间间隔为1S。8根彩灯全亮后,持续10S。然后按照8号→7号→6号→...→2号→1号的顺序依次熄灭,时间间隔为1S。灯管全部熄灭后,等待2S,再从8号灯管开始,按照8号→7号→6号→...→2号→1号的顺序依次点亮,时间间隔为1S。全部点亮后持续20S,再按照1号→2号→3号→...→7号→8号的顺 序熄灭,时间间隔仍为1S。灯管全部熄灭后,等待2S,再重新开始上述过程的循环。 2、用proteus仿真 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效; 2.此表1式3份,学生、指导教师、教研室各1份。

8路LED彩灯控制电路设计报告

《8路LED彩灯控制》课程设计报告 专业: 班级: 姓名: 学号: 同组成员: 指导教师: 2011年 1 月8 日

目录 一、课程设计目的 (3) 二、课程设计题目描述和要求 (3) 三、课程设计报告内容 (3) 3.1 器件选择 (3) 3.2 实验电路图 (3) 3.3 原理分析 (4) 3.3.1 脉冲源产生模块 (4) 3.3.2 定时器模块 (4) 3.3.3 寄存器模块 (4) 3.4 具体思路和设计过程 (4) 3.4.1 设计思路 (4) 3.4.2 具体设计过程 (5) 四、焊接与调试 (6) 五、总结体会 (6)

一、课程设计目的 随着社会的发展,人民生活水平不断提高,现代人们越来越注重节能和装饰,因此LED作为一种超节能产品在实际生活中得到广泛应用,它不仅工作电压低,而且使用寿命很长,所以它受到大多数灯光装饰师的青睐。本设计用8路led设计出的彩灯控制电路具有:低能耗、花色多、性能忧、实用美观等特点,实为家居装饰之首选。而具体的课程设计(包括电路图设计、电路板焊接、安装与调试),既可以提高我们的动手能力和实际分析问题能力,还有助于我们加深对数字电路的认识和了解,进一步激发学习的兴趣,为后续学习打下坚实基础。 二、课程设计题目描述和要求 本设计中的8路LED彩灯控制电路,由555定时器、移位寄存器、计数器等器件构成,主要功能是实现三种花色的交错变换,8路led灯的亮和灭组合起来形成有规律的花色,给人以美感,适用于彩灯装饰。 三.课程设计报告内容 3.1 器件选择 555定时器一片、十六进制计数器74ls191两片、双向移位寄存器74ls194两片、0.01uf的电容和2.2uf的电容各一个、47k电阻两个、非门74ls04一个、与非门 74ls20六个、导线若干。 3.2 实验电路图

六路彩灯循环控制器数电课程设计报告书

一.设计目的及要求 1.1 课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5种演示花型: 花型1:6路彩灯同时亮; 花型2:6路彩灯同时灭; 花型3:6路彩灯从左至右逐路点亮; 花型4:6路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6路彩灯右侧三个全亮,同时左侧三个全灭;

要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3、花型4,花型5、花型1······且电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图 2.1 题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个74LS160,一个74LS42和两个74HC194,这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2题目设计 花型1,111111;花型2,000000;花型3,100000——010000——001000——000100——000010——000001;花型4,111000;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3 结构框图

彩灯控制器设计

彩灯控制器设计 摘要 一、系统设计要求 设计一个控制电路来实现8路彩灯按照一定的次序和时间间隔闪烁。具体要求如下: 1、当控制开关为0时,灯全灭;当控制开关为1时,从第一盏开始,依次点亮,时间间隔为1秒。期间一直保持只有一盏灯亮、其他灯全灭的状态。 2、 8盏灯依次亮完后,从第8盏开始依次灭,期间一直保持只有一盏灯灭、其他灯全亮的状态。 3、当8盏灯依次灭完后,8盏灯同时亮同时灭,其时间间隔为0.5秒,并重复4次。 4、只要控制开关为1,上述亮灯次序不断重复。 5、用层次化设计方法设计该电路,编写各个功能模块的程序。 6、仿真各功能模块,通过观察有关波形确认电路设计是否正确。 7、完成电路设计后,用实验系统下载验证设计的正确性。 二、系统总体结构 系统框图如下所示, ENA为控制开关,输入信号为2Hz,经过分频器分频之后产生一个1Hz的时钟信号,用两个12位内部信号的左、右移后的中间8位来控制8个灯的亮与灭。计数器1和计数器2的作用:一方面用2Hz和1Hz的时钟信号控制灯亮、灭的时间间隔;另一方面控制八盏灯的依次亮、依次灭和全亮、全灭。 使能信号ENA为无效电平时(低电平),8只LED灯保持全灭的状态;当使能信号ENA为有效电平时(高电平),8只按照既定的花型进行变换,首先2Hz的时钟信号在分频器的左右下改变为1Hz的时钟信号,该1Hz的时钟信号送至计数器2、左移和右移模块,并由计数器2实现左、右移模块的选择。分别实现8只LED灯的自左向右依次点亮,以及自右向左依次熄灭的花型变换;当完成自右向左的花型变换后,计数器2给出一个控制信号给计数器1,执行全亮全灭的花型变换,该模块的时钟信号是未经分频器分频的原始时钟信号2Hz。只要使能信号有效,那么该系统就按照以上的花型变换顺序一直永序的变换下去。 三、各功能模块

彩灯控制器的设计电子课程设计

彩灯控制器的设计 一.内容提要: 随着社会的发展,街道、商场或公共场所通常都装有各种五彩斑斓的灯饰,这些霓虹灯既可以美化人们的生活空间,也给这个社会增添了不少色彩。特别是每逢节日晚上都能看到街道旁都挂起五彩缤纷彩灯,给人一种节日的气氛。然而,彩灯作为我们生活中的一部分,我们既要知道其然,还要知其之所以然。因此,我们有必要去研究彩灯的工作原理。本次设计主要阐述了由电子电路设计一个彩灯控制器,控制红绿黄三个灯,按一定规律依次点亮。即由电子电路实现一个可循环效果的彩灯控制器。 本设计主要讲述了彩灯控制器的工作原理以及其各个组成部分,记述了我在整个设计过程中对各个部分的设计思路、对各部分电路设计方案的选择、元器件的筛选、以及对它们的调试、对调试结果的分析,到最后得到比较满意的实验结果的方方面面。 二设计主要要求及指标 1、控制红、绿、黄一组彩灯循环闪亮,变化的规律是:红→红绿→绿→ 黄绿→黄→全亮→全灭→红,如此循环,产生“流水”般的效果。 2、彩灯白天不亮,夜晚自动亮。 3、“流水”的速度由快到慢,再由慢到快循环变化。 三、设计提示 原理框图如图1所示 1、彩灯的亮灭共有七种情况,可设计一个七进制的计数器,用计数器的状态控 制彩灯的亮灭;计数器应能够自启动。 2、“流水”的速度的控制可用两片555定时器电路实现。两片555接成振荡频 率不同的多谐振荡器,用频率低的振荡器上积分电容的三角波信号,调制另一个频率高的振荡器的振荡频率,使其高低往复变化。 3、用光敏器件(光敏电阻、光敏二极管、光敏二极管等)检测周围环境的光强, 以区分白天、夜夜,控制彩灯的亮灭。

图1 彩灯控制器框图 四.设计思路 根据题目要求,整体结构为脉冲信号源输出一定频率的脉冲给七进制计数器,七进制计数器受脉冲控制输出Q 1、Q 2、Q 0的不同状态,从而控制逻辑电路,逻辑电路输出控制彩灯的亮灭,达到要求。 根据所学内容,可分别确定所需元件,脉冲信号源有很多种,但要频率可控,可采用CB555定时器组成的多谐振荡器和滑动变阻器完成,多谐振荡器是常用的一种矩形波发生器,滑动变阻器通过改变其内部电阻来改变其输出矩形波的频率。七进制计数器可通过74LS160型同步十进制计数器改接而得。逻辑电路可使用74LS138型3位二进制译码器控制彩灯。 光敏器件检测电路 脉冲发生 七进制计数逻 辑 电 路 红 黄 绿 Q2 Q1 Q0 D CP 加减控制 循环结束结束判别 时钟快慢 控制

多路彩灯控制器的设计

多路彩灯控制器的设计 一课程设计题目(与实习目的) (1)题目:多路彩灯控制器 (2)实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事的工作态度。 5.作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。二任务和要求 实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。 (1)彩灯控制器设计要求 设计一个8路移存型彩灯控制器,要求: 1. 彩灯实现快慢两种节拍的变换; 2. 8路彩灯能演示三种花型(花型自拟); 3. 彩灯用发光二极管LED模拟; 4. 选做:用EPROM实现8路彩灯控制器,要求同上面的三点。 (2)课程设计的总体要求 1.设计电路实现题目要求;

2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 三总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。 主体框图如下: 方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示; 第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。 并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。 主体框图如下: (2)总体方案的选择 方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

数电课程设计-四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级:110422 学号:姓名:陈粤龙 评分:教师: 20 13 年9 月23 日 数字电路课程设计任务书20 12 -20 13 学年第 1 学期第19 周-20周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3) 四亮四灭,从左向右移动 (4) 从1~8从左到右逐次点亮,然后逐次熄灭 (5) 四种花样自动变换。 进度安排 1.?布置任务、查阅资料、选择方案、领仪器设备:2天 2.?仿真、画PCB线路图:2天 3.?领元器件、制作、焊接:3天 4.?调试:2天 5.?验收:1天 6.?提交报告:2013-2014学年第一学期?2-3周 学生姓名:陈粤龙

注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。 前 言 彩灯控制器有着非常广泛的运用,如:LED 彩灯,音乐彩灯控制器,二维彩灯控制器等等,现简单介绍如下: 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用 彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一 指导时间:2周 指导地点: E610 任务下达 2013年 9月 22日 任务完成 2013 年 9 月 25日 考核方式 1.评阅 □ 2.答辩 □ 3.实际操作□ 4.其它 □ 指导教师 系(部)主任 摘 要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D 触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D 触发器。当彩灯完成一种花样时,双D 触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲 分频 自动转换 控制器 数据选择器

数电实训彩灯控制电路设计

桂林电子科技大学信息科技学院《数字逻辑电路》实训报告 学号 1051100425 姓名 指导教师:邹老师覃老师 2010 年 07 月 13 日

多路彩灯控制电路 1.整机设计 1.1 设计要求 (1)功能要求:八个彩灯用8个放光二极管代替; (2)设置外部操作开关,它具有控制彩灯亮点的右移、左移、全亮及全灭等功能; (3)彩灯亮点移动时间间隔取1秒; (4)彩灯的布图形状随意; (5)让学号的最后两位编码点亮相应的灯,能实现循环左右移,可控制彩灯亮灭速度 1.1.1 设计任务 通过查找资料设计彩灯的原理图﹑PCB图使其能实现全亮﹑全灭﹑左移﹑右移等功能,让学号的最后两位编码点亮相应的灯,能实现循环左右移,可控制彩灯亮灭速度 1.1.2 性能指标要求 彩灯亮点的时间间隔为1秒,占空比为50% 1.2 整机实现的基本原理及框图 1.2.1 基本原理 通过两片集成双向移位寄存器74LS194和拨码开关控制右移﹑左移和一个拨码开关进行预置端让其全亮﹑全灭和一个由555芯片构成的CP产生电路其主要原理框图如下: 1.2.2 总体框图 总体框图 2.各功能电路实现原理及电路设计 (1)彩灯演示电路 2片移位寄存器74LS194级联实现。其八个输出信号端连接八个300欧电

阻(保护发光二极管)和八个发光二极管。其电路图如下 彩灯演示电路图 (2)彩灯控制电路 移位寄存器是一个具有移位功能的寄存器。寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。既能左移又能右移的叫双向移位寄存器。根据移位寄存器存取信息的方式不同分:串行串出,串入并出,并入串出,并入并出4种形式。 本电路由2片移位寄存器74LS194级联实现。其八个输出信号端连接八个300欧电阻(保护发光二极管)和八个发光二极管和一片74LS04(控制彩灯循环亮的作用)和拨码开关控制输入的高低电平。其图如下: 彩灯控制电路图

彩灯控制器电路设计报告

西安科技大学高新学院 毕业设计(论文) 题目彩灯控制器电路设计 院(系、部) 机电信息学院 专业及班级电专1202班 姓名张森 指导教师田晓萍 日期 2015年5月28日

摘要 随着微电子技术的发展,人民的生活水平不断提高,人们对周围环境的美化和照明已不仅限于单调的白炽灯,彩灯已成为时尚的潮流。彩灯控制器的实用价值在日常生产实践,日常生活中的作用也日益突出。基于各种器件的彩灯也都出现,单片机因其价格低廉、使用方便、控制简单而成为控制彩灯的主要器件。 目前市场上更多用全硬件电路实现,电路结构复杂,结构单一,一旦制成成品就只能按固定模式,不能根据不同场合,不同时段调节亮度时间,模式和闪烁频率等动态参数,而且一些电路存在芯片过多,电路复杂,功率损耗大,亮灯样式单调缺乏可操作性等缺点,设计一种新型彩灯已迫不及待。 近年来,彩灯对于美化、亮化城市有着不可轻视的重要作用。因此作为城市装饰的彩灯需求量越来越大,对于彩灯的技术和花样也越来越高。目前市场上各种式样的LED彩灯多半是采用全硬件电路实现,存在电路结构复杂、功能单一等局限性,因此有必要对现有的彩灯控制器进行改进。 关键词:LED彩灯;STC-89C52单片机;彩灯控制器。

目录 1前言 (1) 1.1设计目的 (1) 1.2设计要求 (1) 1.3总体方案设计与选择的论证 (2) 2节日彩灯控制器的设计 (4) 2.1核心芯片及主要元件功能介绍 (4) 2.1.1 AT89S52芯片 (4) 表1 (5) 2.1.2 74HC377芯片 (5) 2.1.3 74HC138芯片 (6) 2.2硬件设计 (7) 2.2.1直流电源电路 (7) 2.2.2按键电路 (8) 2.2.3时钟复位电路 (8) 2.2.4 LED显示电路 (9) 2.2.5硬件调试 (9) 2.3软件设计 (10) 3 总结 (15) 3.1实验方案设计的可行性、有效性 (15) 3.2设计内容的实用性 (15) 3.3心得 (16) 附录 (16) 参考文献 (18) 致谢 (19)

课程设计报告(彩灯控制器)

西安文理学院机械电子工程系 课程设计报告 专业班级电子信息工程(1)班 课程数字电子技术 题目彩灯控制器 学号 学生姓名 指导教师 2010年 1月

西安文理学院机械电子工程系 课程设计任务书 学生姓名专业班级学号 指导教师职称教授教研室 课程数字电子技术 题目 彩灯控制器 任务与要求 1.掌握NE555定时器的原理及使用方法。 2.学习74LS138译码器的使用方法。 3.掌握CD4040计数器的使用方法。 4.按照设计图连好电路,实现所需功能。 5.攥写课程设计报告 开始日期 2009年12月28日完成日期2010年1月8日 2010年1月8日

目录 设计目的 (1) 设计任务和要求 (1) 总体设计方案 (2) 功能模块设计与分析 (5) 电路的安装与调试 (8) 实验仪器及元器件清单 (11) 心得体会 (10) 附录一系统电路图 (9)

一、设计目的 用CD4040芯片、LS138芯片、NE555芯片完成彩灯控制器。 二、设计要求和任务 通过循序渐进地独立完成数字电路的设计任务,加深对理论知识的理解,有效地提高了动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力。重点提高在数字电路应用方面的实践技能,树立严谨的科学作风,培养综合运用理论知识解决实际问题的能力。通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 基本要求: (一).掌握数字逻辑电路分析和设计的基本方法 1.根据设计任务和指标,初选电路; 2.通过调查研究、设计计算,确定电路方案; 3.选测元器件,安装电路,独立进行试验,并通过调试改进方案; 4.分析课程设计结果,写出设计报告。 (二).培养一定自学能力和独立分析问题、解决问题能力 1.学会分析、找出解决问题的方法; 2.对设计中遇到的问题,能独立思考,查阅资料,寻找答案; 3.掌握一些测试电路的基本方法,课程设计中出现一般故障,能通过“分析、观察、判 断、试验、再判断”的基本方法独立解决; 4.能对课程设计结果进行分析和评价。 (三).掌握安装、布线、调试等基本技能 1.掌握常用的仿真软件,并能够利用仿真软件进行一定的电路调试、改进; 2.掌握数字电路布线、调试的基本技巧; 3.巩固常用仪器的正确使用方法。 (四).培养实践能力 通过严格的科学训练和工程设计实践,树立严肃认真、一丝不苟、实事求是的科学作风,并培养团结协作的精神。

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

广告彩灯控制电路设计课设

目录 引言 (2) 1设计意义及要求 (3) 1.1设计意义 (3) 1.2设计要求 (3) 2方案设计 (4) 2.1设计思路 (4) 2.2方案设计 (4) 2.2.1方案一及其电路图 (4) 2.2.2方案二及其电路图 (5) 2.3方案比较 (6) 3部分电路的设计 (7) 3.1脉冲源的设计电路 (7) 3.2 4017控制电路 (9) 3.3 同右同左控制电路 (11) 3.4 回馈控制电路 (15) 4调试与检测 (16) 4.1调试中故障及解决办法 (16) 4.2调试与运行结果 (16) 5 仿真操作步骤及使用说明 (17) 6元件名细表 (18) 7附录电路图 (19) 8结束语 (20) 本科生课程设计成绩评定表

引言 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度发展。 在现实生活中,我们经常遇到花样多变的广告彩灯,所谓广告彩灯就是讲一系列有颜色的灯串联在一起,然后按一定次序逐个或者几个的依次点亮和熄灭。因此要设计出这种电路就要应用数字逻辑电路,本次试验依照要求要设计出三行彩灯依次点亮,三列彩灯从右向左移动从左向右移动,所以该课程设计将用到脉冲信号产生电路、4017控制电路、计数电路、译码电路及其他门电路。

广告彩灯控制电路设计 1 设计意义及要求 1.1 设计意义 (1)培养学生正确的设计思想,理论联系实际的工作作风,严肃认真、实事的科学态度和勇于探索的创新精神。 (2)锻炼学生的自学软件的能力及分析问题、解决问题的能力。 (3)通过课程设计,使学生在理论计算,课程设计、查阅设计资料、标准和规运用和计算机应用能力得到训练和提高。 (4)固化、深化和扩展学生的理论知识与专业技能。 (5)为今后从事电子领域打下坚实的基础。 1.2设计要求 1.现有9只彩灯,试设计一控制电路,要求彩灯能实现如下追逐图案; 2.第一层3只红灯右移,每灯亮的时间为0.8秒; 3.第二层3只蓝灯右移,每灯亮的时间为0.8秒; 4.第三层3只黄灯右移,每灯亮的时间为0.8秒; 5.三色彩灯同时右移,每组灯亮的时间为1.6秒; 6.三色彩灯同时左移,每组灯亮的时间为1.6秒; 7.彩灯控制电路工作状态按照上述2至6步自动重复循环。

简易彩灯控制电路课程设计报告

目录摘要1 1 设计要求1 1.1设计课题1 1.2主要容1 1.3技术要求1 2 系统组成及工作原理2 2.1系统组成框图2 2.2工作原理分析3 3 电路方案设计3 3.1电路图设计3 4 单元电路设计4 4.1时钟脉冲产生电路4 4.2分频电路的工作原理6 4.3状态机电路7 4.4移位输出电路8 4.5桥式整流电路9 4.6发光二级管9 结束语10 致11 参考文献12

附录13 附录1:元器件清单13 附录2:输出状态编码13 附录3:元件引脚图14 附录4:元件功能表15 附录5:总设计图17

简易彩灯控制器电路 摘要 彩灯控制器是用来使彩灯按照一定的形式和规律闪亮,起到烘托节日氛围、吸引公众注意力的作用,它是一种很好的照明娱乐工具,应用前景较为广泛。本设计就是采用电子元件制作的一个简易的具有四种变换花型的彩灯控制器。 本电路系统由四部分组成,分别是: (1)时钟振荡电路,555定时器构成多谐振荡器; (2)分频电路,由四位二进制计数器74LS161组成,为D 触发器提供时钟; (3)状态机电路,由双D 触发器组成; (4)移位显示电路,由双向移位寄存器74194 和发光二极管组成,实现花型显示。 彩灯控制器实现方法多种多样,本次设计的这台彩灯控制器主要功能有:(1)可以控制8个以上的彩灯;(2)可以组成四种以上花型,并且每种花型能够连续循环两次,各种花型轮流显示。 本电路基于74系列简单逻辑门电路的组合,实现简易电子彩灯控制器电路,具有电路设计简单,成本低廉的特点。 关键词:时钟脉冲;分频;双D触发器;移位寄存器;计数器

1 设计要求 1.1设计课题 题目:简易彩灯控制器电路 1.2主要容 1.2.1 阅读相关文献。 1.2.2 学习电子制图软件的使用。 1.2.3 学会整理和总结设计文档报告。 1.2.4 学会如何查找器件手册及相关参数。 1.3 技术要求 1.3.1要求电路能够控制8个以上的彩灯。 1.3.2要求彩灯组成四种以上的花形,每种花形连续循环两次,各种花形轮流显示。

相关文档
最新文档