数字电子技术教案之十九

7.3.2 同步计数器

一、同步二进制计数器

1.同步二进制加法计数器

JK触发器组成的4位同步二进制加法计数器

工作原理简介。

2.同步二进制减法计数器

设计思想:

3.集成同步二进制计数器CT74LS161

逻辑功能示意图。

功能表。

4.利用反馈置数法获得N进制计数器

⑴ 计数器的置数功能

⑵ 利用反馈置数法获得N进制计数器

步骤:

① 写出计数器状态的二进制代码。

② 写出反馈归零函数。

③ 画连线图。

[例7.3.2]试用 CT74LS161构成十进制计数器

[例7.3.3]试用CT74LS161构成十二进制计数器。5.同步二进制加/减计数器

二、同步十进制加法计数器

三、集成同步计数器

1.集成十进制同步加法计数器CT74LS160

2.集成十进制同步加/减计数器CT74LS190

7.3.3B 利用计数器的级联获得大容量同步N进制计数器

一、级联法

同步计数器实现的方法:

两片CT74LS160级联成100进制同步加法计数器。

二、反馈归零法

两片4位二进制数加法计数器CT74LS161级联成五十进制计数器。

现代教学方法与手段:

用DLCCAI或EWB演示74LS161、74LS160、74LS190的逻辑功能

7.3.2 同步计数器

一、同步二进制计数器

1.同步二进制加法计数器

根据学生的程度,有时也可以从设计的角度,讨论同步二进制计数器的设计思想。[同步计数器中,所有触发器的CP端是相连的,CP的每一个触发沿都会使所有的触发器状态更新。因此不能使用T′触发器。

应控制触发器的输入端,即将触发器接成T触发器。

只有当低位向高位进位时(即低位全1时再加1),令高位触发器的T=1,触发器翻转,计数加1。]

由JK触发器组成的4位同步二进制加法计数器(参见教材中图7.3.7)

用下降沿触发。下面分析它的工作原理。(巩固同步计数器的分析方法,简单介绍思路,可由学生自学详细的内容。可不写板书)

(2)列状态转换真值表。

与或式(状态方程)→真值表(状态转换真值表)。将现态看成是输入变量,次态看成是输出函数。

(3)逻辑功能。十六进制计数器。

2.同步二进制减法计数器

设计思想:

[同步计数器中,所有触发器的CP端是相连的,CP的每一个触发沿都会使所有的触发器状态更新。因此不能使用T′触发器。

应控制触发器的输入端,即将触发器接成T触发器。

只有当低位向高位借位时(即低位全0时再减1),令高位触发器的T=1,触发器翻转,计数减1。]

为此,只要将二进制加法计数器的输出由Q端改为端,便成为同步二进制减法计数器了。

3.集成同步二进制计数器CT74LS161

主要功能分析:(看功能表分析,不必写板书。)

4.利用反馈置数法获得N进制计数器

⑴ 计数器的置数功能

应先将计数起始数据预先置入计数器。

集成计数器的置数方式也有异步和同步两种。

①异步置数:与时钟脉冲CP没有任何关系,只要异步置数控制端出现置数信号,并行数据便立刻被置入。

② 同步置数:输入端获得置数信号后,只是为置数创造了条件,还需要再输入一个计数脉冲CP,计数器才能将预置数置入。

⑵ 利用反馈置数法获得N进制计数器

用 S0,S1,S2…,S N表示输入0,1,2,…,N个计数脉冲CP时计数器的状态。N进制计数器的计数工作状态应为N个:S0,S1,S2…,S N-1

对于异步置数:在输入第N个计数脉冲CP后,通过控制电路,利用状态产生一个有效置数信号,送给异步置数端,使计数器返回到初始的预置数状态,即实现了N进制计数。

对于同步置数:在输入第N-1个计数脉冲CP时,利用状态产生一个有效置数

信号,送给同步置数控制端,等到输入第N个计数脉冲CP时,计数器返回到初始的预置数状态,从而实现N进制计数。

课堂讨论:实现N进计数,异步置数时状态出现吗?

步骤:

① 写出计数器状态的二进制代码。

利用异步置数输入端获得N进制计数器时,写出对应的二进制代码;

利用同步置数端获得 N进制计数器时,写出对应的二进制代码。

② 写出反馈归零函数。

根据S N或S N-1写出置数端的逻辑表达式。

③ 画连线图。主要根据反馈置数函数画连线图。

[例7.3.2]试用 CT74LS161构成十进制计数器

解:CT74LS161实现16进制,可利用其同步置数控制端来实现十进制计数。

第一种方案:设从Q3Q2Q1Q0=0000状态开始计数,取D3D2D1D0=0000。

采用置数控制端获得N进制计数器一般都从0开始计数。

(1)写出S N-1的二进制代码为

S N-1=S10-1=S9=1001

(2)写出反馈归零(置数)函数。由于计数器从0开始计数,因此,应写反馈归零函数

(7.3.4)

(3)画连线图。根据上式和置数的要求画十进制计数器的连线图,如图7.3.9(a)所示。

第二种方案:利用后10个状态0110~1111,取D3D2D1D0=0110,

反馈置数信号从进位输出端CO取得。

讨论:为什么?

取状态S15=1111,此时正好CO=1,经非门,可取代与非门。

电路如图7.3.9(b)所示。

[例7.3.3]试用CT74LS161构成十二进制计数器。

解:设从Q3Q2Q1Q0=0000状态开始计数。

(1)利用异步置0控制端实现

① 写出S12的二进制代码S12=1100

② 写出反馈归零函数

(7.3.5)

③ 画连线图。如图7.3.10(a)所示

(2)利用同步置数控制端实现

取D3D2D1D0=0000。

① 写出S N-1的二进制代码

S12-1=S11=1011

② 写出反馈归零置数函数

(7.3.6)

③ 画连线图。根据的表达式画连线图,如图7.3.10(b)所示。

5.同步二进制加/减计数器

复习:JK触发器组成的二进制计数器:

如从Q端输出信号时,为加法计数器;

如从端输出信号时,则为减法计数器。

设计思想:关键是用加/减控制信号将Q端或端的输出信号加到相邻高位T触发器的T输入端上。

图7.3.11所示为三位同步二进制加/减计数器的逻辑图。

M为加/减控制信号,其值可为1,也可为0。

由图可得三个T触发器的驱动方程分别为

教材中图7.3.12所示为由JK触发器组成的8421BCD同步十进制加法计数器的逻辑图,用下降沿触发。

分析它的工作原理。(巩固同步时序电路的分析方法,可由学生自学)

逻辑功能:与异步十进制计数器相同。

三、集成同步计数器

1.集成十进制同步加法计数器CT74LS160

逻辑功能示意图。与CT74LS161基本相同,只是型号不一样。

功能表。与CT74LS161完全相同。

主要功能。与CT74LS161基本相同,只是实现十进制计数。

进位输出信号C O=CT T Q3Q0=Q3Q0

[例7.3.4]试用CT74LS160构成七进制计数器。

解:利用同步置数控制端归零。

(1)写出S N-1的二进制代码

S N-1=S7-1=S6=0110

(2)写出反馈归零(置数)函数。设计数器从0开始计数,为此,应取D3D2D1D0=0000,故(7.3.11)

(3)画连线图。根据式(7.3.11)和置数的要求画连线图,如图7.3.14所示。

课后思考题:利用CT74LS160的异步置0控制端构成七进制计数器。

2.集成十进制同步加/减计数器CT74LS190

⑶ 主要逻辑功能。(根据功能表分析,不用写板书)

7.3.3B 利用计数器的级联获得大容量同步N进制计数器

一、级联法

计数器的级联是将多个集成计数器(如M1进制、M2进制)串接起来,以获得计数容量更大的N(=M1×M2)进制计数器。

一般集成计数器都设有级联用的输入端和输出端。

同步计数器实现的方法:

低位的进位信号→高位的保持功能控制端(相当于触发器的T端)

有进位时,高位计数功能;无进位时,高位保持功能。

两片CT74LS160级联成100进制同步加法计数器。

由图可看出:低位片CT74LS160(1)在计到9以前,其进位输出CO=Q3Q0=0,高位片CT74LS160(2)的CT T=0,保持原状态不变。当低位片计到9时,其输出CO=1,即高位片的CT T=1,这时,高位片才能接收CP端输入的计数脉冲。所以,输入第10个计数脉冲时,低位片回到0状态,同时使高位片加1。

二、反馈归零法

两片4位二进制数加法计数器CT74LS161级联成五十进制计数器。

现代教学方法与手段:用DLCCAI或EWB演示74LS161、74LS160、74LS190的逻辑功能

7.4.1 寄存器

1.定义

2.电路举例

3.逻辑功能分析

7.4.2 移位寄存器

一、单向移位寄存器

㈠由4个维持阻塞D触发器组成4位右移位寄存器。

1.逻辑电路:

2.工作原理

3.右移位寄存器的状态表

㈡4位左移位寄存器。

二、双向移位寄存器4位双向移位寄存器CT74LS194

1.逻辑功能示意图

2.功能表

3.主要功能分析

7.4.3 移位寄存器的应用

一、环形计数器

逻辑电路、工作原理

1.写方程式

2.状态转换真值表

3.逻辑功能

4.工作波形(在有效状态时)。

5.优缺点:

二、扭环计数器

同环形计数器的分析过程

7.4.4 顺序脉冲发生器

一、基本概念

二、由环形计数器实现

三、由双向移位寄存器CT74LS194构成

㈠顺序正脉冲

㈡顺序负脉冲

四、还可以用计数器+译码器实现

现代教学方法与手段:DLCCAI或EWB演示移位寄存器和顺序脉冲发生器的逻辑功能

7.4 寄存器和移位寄存器

寄存器:存放数码、运算结果或指令的电路。

移位寄存器:不但可存放数码,而且在移位脉冲作用下,寄存器中的数码可根据需要向左或向右移位。

一个触发器可存储一位二进制代码。

n位二进制代码寄存器需n个触发器。

寄存器应用举例:1 运算中存贮数码、运算结果。2 计算机的CPU由运算器、控制器、译码器、寄存器组成,其中就有数据寄存器、指令寄存器、一般寄存器。课堂讨论:寄存器与存储器有何区别?

寄存器内存放的数码经常变更,要求存取速度快,一般无法存放大量数据。(类似于宾馆的贵重物品寄存、超级市场的存包处。)

存储器存放大量的数据,因此最重要的要求是存储容量。(类似于仓库)

7.4.1 寄存器

1.定义

寄存器:用以存放二进代码的电路。

2.电路举例

由维持阻塞D触发器组成的4位数码寄存器。

3.逻辑功能分析:

7.4.2 移位寄存器

具有存放数码和使数码逐位右移或左移的电路称作移位寄存器,又称移存器。课堂讨论:二进制的乘除法如何实现?(利用了移位寄存器)

移位寄存器又分为单向移位寄存器和双向移位寄存器。

一、单向移位寄存器

㈠由4个维持阻塞D触发器组成4位右移位寄存器。

1.逻辑电路:

4个D触发器共用一个时钟脉冲信号,因此为同步时序逻辑电路。数码由最左边的FF0的D I端串行输入。

2.工作原理

每一个触发器的输出→其右边触发器的输入,

则对应每一个CP上升沿,数据右移一位。

3.右移位寄存器的状态表

并行输出方式:数码由Q3、Q2、Q1、Q0取出

串行输出方式:数码从Q3取出,但需要输入4(触发器的个数)+4(数码位数)个移位脉冲才能从4位寄存器中取出存放的4位数码1011。

㈡4位左移位寄存器。

数码由最右边的FF3的端串行输入。

每一个触发器的输出→其左边触发器的输入,

则对应每一个CP上升沿,数据左移一位。

二、双向移位寄存器

3.主要功能分析。(根据功能表分析,不写板书)

7.4.3 移位寄存器的应用

一、环形计数器

为同步时序逻辑电路。

下面分析它的工作原理。(巩固已经学过的同步电路的分析方法。可简单讲分析过程,重点讲明逻辑功能和工作波形。)

1.写方程式

2.状态转换真值表

3.逻辑功能

①4位环形计数器只有4个有效工作状态,即只能计4个数。

②状态利用率很低:由4个触发器组成的二进制计数器有16个不同的状态。因此,有12个无效状态。

③能够自启动:如由于某种原因而进入无效状态时,只要继续输入计数脉冲CP,电路就会自动返回有效状态工作。

4.工作波形(在有效状态时)。

Q0、Q1、Q2、Q3输出的波形为一组顺序脉冲(依次出现正脉冲),因此,环形计数器也是一个顺序脉冲发生器。(本节稍后将会讲到)

5.优缺点:

优点:电路简单。

缺点:电路状态利用率低,计n个数,需n个触发器,很不经济。

自启动扭环计数器,为同步时序逻辑电路。

下面分析它的工作原理。(巩固已经学过的同步电路的分析方法。可简单讲分析过程,重点讲明逻辑功能、工作波形。)

数字电子技术基础教案

数字电子技术基础教案 太原工业学院 第1章逻辑代数基础

目的与要求: 熟练掌握基本逻辑运算和几种常用复合导出逻辑运算;熟练运用真值表、逻辑式、逻辑图来表示逻辑函数。 重点与难点: 重点:三种基本逻辑运算和几种导出逻辑运算;真值表、逻辑式、逻辑图之间的相互转换。难点:将真值表转换为逻辑式。 所谓数字电路,就是用0和1数字编码来表示和传输信息的系统,即信息数字化(时代)。 数字电路与传统的模拟电路比较,其突出的优点是:(如数字通 信系统)抗干扰能力强、保密性好、计算机自动控制、(数字测量 仪表)精度高、智能化、(集成电路)可靠性高、体积小等。 数字电子技术基础,是电子信息类各专业的主要技术基础课。 1、1概述 一、模拟量(时间、温度、压力、速度、流量):时间上和幅值上 连续变化的物理量; 模拟信号(正弦交流信号):表示模拟量的信号。 数字量:时间上和幅值上都不连续变化的物理量(工厂中生产的产品个数); 数字信号、数字电路。 数字电路中的数字信号 采用0、1两种数值(便于实现)(位bit 、拍) 0、1表示方法:电位型:电位高低(不归零型数字信号) 脉冲型:有无脉冲(归零型数字信号) 二、数制及其转换 由0、1数值引入二进制及其相关问题。 常用数制:举例:十进制、二进制(双)、七进制(星期)、 十二进制(打)等。 特点:基数:数制中所用数码的个数; 位权。 1. 十进制数 基数:10 位权:n 10 表达式:10)(N =(P2 式1-1)=i n m i i a 101 ?∑--= (1-1) 推广到任意进制R : 基数:R 位权:n R

表达式:R N )(=(P2 式1-2)=i n m i i R a ?∑--=1 (1-2) 2. 二进制数 表达式:2)(N =(P3 式1-3)=i n m i i a 21 ?∑--= (1-3) 位权:以K 为单位;按二进制思维(如1000个苹果问题); 例如:(1101.01)2= 0-16对应的二进制数 特点:信息密度低,引入八、十六进制。 3. 八进制、十六进制 八进制: 基数:8(0-7) 位权:n 8 表达式:8)(N == i n m i i a 81?∑--= ( 1-4) 十六进制: 基数:16(0-9,A ,B ,C ,D ,E ,F ) 位权:n 16 表达式:16)(N ==i n m i i a 161?∑--= 特点:和二进制有简单对应关系;信息密度高,便于书写。 4. 不同进制数的转换 ⑴ R →十:按位权展开,再按十进制运算规则运算。 例1-1、1-2、1-3(P4) ⑵ 十→R :分两步 整数部分:除R 取余,注意结束及结果; 小数部分:乘R 取整,注意精度及结果; 结果合并: ⑶ R=2k 进制之间的转换 二?八:3位?1位, 二?十六:4位?1位, 八?十六:以二进制为过度, 5. 进制的另一种表示方法: B (inary )----二; H(exadecimal)----十六; D(ecimal)----十; O----八 三、二—十进制代码(BCD 代码)

电子教案《数字电子技术》(邱寄帆)教学资源 数字电子课程标准

《数字电子技术》课程标准 (适用于三年制高职电子信息工程技术专业) 制订人:周兴审定人:林训超一、制订课程标准的依据 本课程标准依据电子信息工程技术专业标准中的人才培养目标和培养规格以及对《数字电子技术》课程教学目标要求而制订,用于指导《数字电子技术》课程教学与课程建设。 二、课程的性质与作用 在电子信息工程技术专业课程体系中,本课程是专业核心主干课程之一,属于基本能力训练层次(电子技术平台类)的课程,也可作为电子技术类其它专业的选修课程。本课程是一门基于职业能力分析,以数字电子电路为载体,将典型数字电路设计、调试与应用有机融合的理论性、实践性都较强的课程。本课程主要培养学生具备典型数字电路设计、调试与应用的能力。 本课程计划教学学时为:80学时+2周(折算学时:140学时),计5.5学分。 三、课程与其它课程的关系 本课程学习和训练之前,学生应已修完如下课程:《电路分析与应用》、《电工电子操作》。

四、课程的教育目标 依据电子信息工程技术专业培养目标要求,本课程致力于培养拥护党的基本路线,适应生产、管理和技术服务第一线需要的,德、智、体、美全面发展,掌握本专业必备的专门知识,具备典型电子电路设计、调试与应用能力的高等技术应用性人才,以作为胜任专业岗位群技术服务和技术支持的保证。 通过本课程的学习和训练,使学生具备以下知识、能力和素质: 1.了解相关数字电子器件的识别、检测和使用知识; 2.掌握查阅数字电子器件手册的方法; 3.掌握常用电子仪器仪表(万用表、整流电源、信号发生器、示波器、毫伏表等)、设备和工具的使用; 4.具备典型数字电路分析和初步设计的能力; 5.具备阅读数字电路原理图的能力; 6.具备基本单元电路和小型电子产品的制作、测试、调试及排除简单电路故障的能力; 7.掌握专业工具软件(电路图绘图软件、电路仿真软件)的正确使用; 8.具备电子产品说明书的阅读和写作的能力; 9.培养学生科学严谨的工作作风、认真负责的工作态度,具有一定的生产观点、经济观点及团队合作的精神,培养较好的心理素质及安全、环保意识,具有良好的职业道德素养。 五、课程的教学内容与建议学时

数字电子技术基础教案范文范本数字电子技术基本教程pdf(3篇)

数字电子技术基础教案范文范本数字电子技术 基本教程pdf(3篇) 精选数字电子技术根底教案范文范本一 七嘴八舌七零八落七上八下 以数字“八”开头成语: 八面玲珑八仙过海半斤八两 八面玲珑八面威严半斤八两 胡说八道八仙过海,各显神通 八拜之交八斗之才八珍玉食 八百孤寒八音迭奏才高八斗 耳听八方胡说八道 以数字“九”开头的成语: 回肠九转羿射九日鹤鸣九皋九牛二虎之力 九曲回肠九泉之下九洲四海九转功成含笑九泉 九五之尊九儒十丐九回肠断九垓八埏九天揽月 九死一生九牛一毛九霄云外九牛二虎之力含笑九泉 九死一生九霄云外九鼎大吕九九归一 精选数字电子技术根底教案范文范本二 实习时间:20xx年x日至x日

实习地点:xx 实习人:xx 实习目的:电子工艺实习,使我们对电子元件焊接以及半导体收音机和数字万用表的装配工艺有了肯定的感性和理性熟悉,以及对电路板的一些学问。收音机和万用表的安装、焊接以及调试;让我们了解了电子产品的装配过程;把握电子元器件的识别和质量检验,学会了整机的装配工艺;同时也培育了我们综合运用所学的理论学问和根本技能的力量,尤其是培育我们的独立分析和解决问题的力量。 实习辅导教师:xx 实习器材:电烙铁及支架、焊锡膏、焊锡丝、万用表、斜口钳、螺丝刀、镊子、试验所需元器件清单等 实习内容:首先我们需要熟识各个元器件,包括电阻、电容、二极管、三极管等,熟悉了半导体收音机装配的元器件,熟识常用电子器件的类别、型号、规格、性能及其适用范围,能够读出电阻的阻值和各个元器件的量值大小。由辅导教师给我们讲解了焊接时的一些技巧,每人发了一个练习用电路板,尝试着初步的手工焊接,渐渐熟识焊接的根本技巧。手工焊接是一个技术活,稍有不慎就可能导致元器件丢失其局部性能,甚至导致元器件报废。 我们先进展了恒兴牌s60袖珍型收音机元器件的焊接,首先我们得看懂收音机的电路图,然后是熟悉电路图上所对应的元器件,找到所对应的

数字电子技术教案

第3章 逻辑门电路 实现一些基本运算关系的电路,称为“门电路”。它是构成数字电路的基本单元。在门电路中,输入反映“条件”,输出反映“结果”。入、出之间是逻辑关系。 在逻辑设计中,主要使用集成逻辑器件,分通用逻辑器件和编程逻辑器件。 TTL 系列和COMS 系列最为常见。 3.1 半导体二极管和三极管的开关特性 1.关于高、低电平 数字电路中的电位常用“电平” 一词描述。高电平是电路的一种状态, 低电平是另一种状态。 不同系列的产品,高、低电平范 围有不同的标准。图中为TTL 系列的 规定。 2.关于正负逻辑 用“1”表示高电平,“0”表示 低电平,这是正逻辑体制; 如果用“0”表示高电平,用“1” 表示低电平,就是负逻辑体制。 同一个电路,可采用正逻辑体制,也可采用负逻辑体制,还可以同时采用正、负逻辑,称为混合逻辑。 今后不加说明,一律采用正逻辑体制。 3.1.1 理想开关的开关特性 一、 静态特性 S 可由二极管、三极管或 MOS 管实现 ① 断开 0 OFF OFF =∞=I R , ② 闭合 0 0AK ON ==U R , 二、动态特性 ① 开通时间:(断开—闭合)0on =t ② 关断时间:闭合—断开 0off =t 普通开关:静态特性好,动态特性差 半导体开关:静态特性较差,动态特性好 低电平上限0.8V 低电平范围 0V 高电平下限1.8V (V CC ) 无定义 高电平范围

3.1.2 半导体二极管的开关特性 二极管具有单向导电性。 导通条件:V D >+0.7V ,如同一个具有约0.7V 压降的闭合开关。 截止条件:V D <死区电压,I D ≈0,如同开关断开一样。 动态情况,二极管的工作速度问题: 截止到导通:电荷积累需要时间(产生扩散运动),可以忽略。 导通到截止:电荷存储效应产生反向恢复时间t re 。(存储的电荷要消散,也需要一定时间,此瞬间有较大的瞬态反向电流。) 二极管的动态电流波形见下图。 3.1.3 晶体三极管的开关特性 1.四种工作状态 放大状态:发射结正偏,集电结反偏; 截止状态:发射结反偏,集电结反偏; 饱和状态:发射结正偏,集电结正偏; 倒置状态:发射结反偏,集电结正偏。 在数字电路中,三极管主要工作在开关 状态,因而不是截止就是饱和。 R R t u i i

数字电子技术基础教案

第 1 讲 授课时间第 1 周一第 1-2节课次 1 授课方式理论课▇讨论课□实验课□习题课□ 其他□ 课时 安排 2 授课题目(教学章、节或主题): 第一章 §1.1 - §1.5数制、码制及常用编码 教学目的、要求(分掌握、熟悉、了解三个层次): 1.掌握数字信号与模拟信号的区别; 2.几种进制之间的转换; 3.熟悉几种常用的编码. 教学重点及难点: 1.进制之间的转换; 2.8421码、余三码、格雷码的特点. 教学基本内容教学方法、教学手段及时间设计 【引入新课】 回忆计算机基础中所讲的二进制,引出本次课内容。 第一章数字电路基础 1.1 概述 1.1.1模拟量和数字量 模拟量:时间上、数量变化上都是连续的物理量;表示模拟量的信号叫做模拟信号;工作在模拟信号下的 电子电路称为模拟电路。 数字量:时间上、数量变化上都是离散的物理量;表示数字量的信号叫做数字信号;工作在数字信号下的 电子电路称为数字电路。 举例(图示) 1.1.2 数字电路的分类 微电子技术的迅猛发展导致了数字电路的飞速发展。(1)按电路类型分类 1)组合逻辑电路输出只与当时的输入有关,如: 多媒体教学(5分钟) 板书讲授与多媒体教学相结合(15分钟)

编码器、加减法器、比较器、数据选择器。 2)时序逻辑电路输出不仅与当时的输入有关,还与电路原来的状态有关。 如:触发器、计数器、寄存器 (2)按集成度分类 SSI →MSI→LIS→VLSI (3)按半导体的导电类型分类 1)双极型电路 2)单极型电路 1.1.3 数字电路的优点 (1)易集成化。两个状态“0”和“1”,对元件精度要求低 (2)抗干扰能力强,可靠性高。信号易辨别不易受噪声干扰。 (3)便于长期存贮。软盘、硬盘、光盘。 (4)通用性强,成本低,系列多。 (5)保密性好。容易进行加密处理。 1.2 几种常用的数制数制:是指多位数码中每一位的构成方法及低位向相邻高位的进 位规则。 一、十进制 1、表示法 2、特点 与同学讨论二、八、十六进制的表示方法及特点 二、二进制 1、表示法 2、特点 三、八进制和十六进制 1.八进制 逢八进一;系数0~7 ;基数8;权8 n。 2.十六进制板书讲解与多媒体教学相结合(10分钟) 板书讲解、推导与多媒体教学相结合, 例题讲解及引导学生做题(35分钟)

数字电子技术实验教案

湖南工学院教案用纸p.1 实验1 基本门电路逻辑功能测试(验证性实验) 一、实验目的 1.熟悉基本门电路图形符号与功能; 2.掌握门电路的使用与功能测试方法; 3.熟悉实验室数字电路实验设备的结构、功能与使用。 二、实验设备与器材 双列直插集成电路插座,逻辑电平开关,LED发光显示器,74LS00,74LS20,74LS86,导线 三、实验电路与说明 门电路是最简单、最基本的数字集成电路,也是构成任何复杂组合电路和时序电路的基本单元。常见基本集门电路包括与门、或门、与非门、非门、异或门、同或门等,它们相应的图形符号与逻辑功能参见教材P.176, Fig.6.1。根据器件工艺,基本门电路有TTL门电路和CMOS门电路之分。TTL门电路工作速度快,不易损坏,CMOS门电路输出幅度大,集成度高,抗干扰能力强。 1. 74LS00—四2输入与非门功能与引脚: 2. 74LS20—双4输入与非门功能与引脚: 3. 74LS86—四2输入异或门功能与引脚: 四、实验内容与步骤 1. 74LS00功能测试: ①74LS00插入IC插座;②输入接逻辑电平开关;③输出接LED显示器;④接电源;⑤拔动开关进行测试,结果记入自拟表格。

2. 74LS20功能测试: 实验过程与74LS00功能测试类似。 3. 74LS86功能测试: 实验过程与74LS00功能测试类似。 4. 用74LS00构成半加器并测试其功能: ①根据半加器功能:S A B =,用74LS00设计一个半加器电路; =⊕,C AB ②根据所设计电路进行实验接线; ③电路输入接逻辑电平开关,输出接LED显示器; ④通电源测试半加器功能,结果记入自拟表格。 5. 用74LS86和74LS00构成半加器并测试其功能: 实验过程与以上半加器功能测试类似。 五、实验报告要求 1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。 2.在报告中回答以下思考题: ①如何判断逻辑门电路功能是否正常? ②如何处理与非门的多余输入端? 湖南工学院教案用纸p.2

数字电子技术基础简明教程第四版教学设计

数字电子技术基础简明教程第四版教学设计 一、课程概述 数字电子技术是高等院校电气类相关专业的重要基础课程。本课程 是针对电子、电气、通信、计算机等相关专业的本科生进行授课的。 课程旨在让学生了解数字电子技术的基本概念、基本原理、基本技术,并能掌握其应用基础,以达到全面认知和掌握数字电子技术的目的。 二、教学目标 1.掌握基本的数字电路分析和设计技能; 2.熟悉数字电路器件特性及使用方法; 3.理解数字电路系统设计的基本原理、方法和过程,能够进 行数字电路系统的设计实践; 4.能够了解数字信号的采集、处理和传输技术的基本方法; 5.能够运用现代电子设计和分析工具进行数字电子系统的设 计分析和仿真; 6.培养学生的团队协作精神,提升解决实际问题的能力。 三、教学内容 第一章数字电路基础 1.数字电路和模拟电路基础概念; 2.组合逻辑电路设计; 3.时序逻辑电路设计;

4.二进制算术运算电路; 5.存储器和寄存器电路; 6.非稳态序列电路; 7.逻辑功能模拟与仿真。 第二章数字电路器件 1.数字电路集成块器件; 2.数字逻辑门及其特性; 3.组合逻辑集成块器件; 4.时序逻辑集成块器件; 5.存储器器件; 6.PLD和FPGA; 第三章数字电路系统设计与分析 1.数字电路系统的设计流程; 2.抽象建模方法; 3.数据通路与控制; 4.状态机设计方法; 5.系统设计实例。 第四章数字信号处理基础 1.数字信号的特点和分类; 2.信号采样及采样定理; 3.信号处理原理;

4.信号生成与重构; 5.数字信号处理应用基础。 第五章数字电路仿真与实验 1.EDA工具基础; 2.数字电路仿真; 3.数字电路实验。 四、教学方法和手段 本课程采用面授教学和实践教学相结合的方式,为学生提供必要的基础概念讲解,同时注重学生实践动手操作及团队协作。通过作业、考试、项目等多种方式进行学生综合素质评价。 具体方法包括: 1.讲授知识点; 2.课堂讨论; 3.实验操作; 4.个人或团队项目; 5.期末考试。 五、教学周期和学分 本课程为全年制教学,共18周,64学时,3学分。 六、考核方式 1.平时成绩(作业+实验)占30%;

【精品】数字电子技术试讲教案定稿

数字电子技术教案

教学内容及步骤 第4章组合逻辑电路 4.1组合逻辑电路的分析与设计 新课导入:(思考题)有一个火灾报警系统,设有烟感、温感和紫外光感3种不同的火灾探测器。为 了防止产生误报警,只有当其中两种或者三种探测器发出火灾探测信号时,报警系统才发出报警信号, 我们是否可以使用基本逻辑门电路实现这个报警系统? 教学内容:第4章第1节组合逻辑电路的分析与设计 4.1.1概述 数字电路按逻辑功能和电路结构的不同特点来划分,可分为两类:组合逻辑电路和时序逻辑电路。 在任何时刻,输出状态只决定于该时刻各输入状态的组合,而与电路以前的状态无关的逻辑电路称为 组合逻辑电路。 a _______________ a 1 --------- F a 2 । 组合逻辑 ' 电路 a ______ n ------------------------------- 图4组合逻辑合逻辑电路示意框图 y = f (a a ... a ) y = f (a a ... a ) y = f (a a ...a ) 在电路结构上,组合逻辑电路主要由门电路组成,没有记忆电路,只有从输入到输出的通路,没 有从输出到输入的回路。 组合逻辑电路的功能除可用逻辑函数式来描述外,还可用真值表、卡诺图和逻辑图等方法进行 描述。 本节课主要讨论组合逻辑电路的分析和设计方法,教学方式采用案例教学法、分组讨论法、讲述 法等。 4.1.2组合逻辑电路的分析和设计 一、组合逻辑电路的分析 组合逻辑电路的分析主要是根据给定的逻辑电路写出输出逻辑函数式和真值表,并分析出电路 的逻辑功能。组合逻辑电路的一般分析步骤如下。 1、基本分析步骤 (1)根据给定的逻辑电路写出输出逻辑函数表达式。一般从输入端到输出端逐级写出各级输出对 输入变量的逻辑表达式,最后便得到所分析组合逻辑电路的输出逻辑函数式。必要时,可用卡诺图法 或代数法进行化简,求出最简逻辑函数式。 (2)根据逻辑函数式列出真值表 将输入变量的状态以自然二进制数的各种取值组合代入输出逻辑函数式进行计算,求出相应的函 数值,并与输入一一对应地列出真值表。 (3)根据真值表或化简的逻辑函数式说明电路的逻辑功能 :11 y2

数字电子技术教案

《数字电子技术》课程教学的指导思想是全面推动习近平新时代中国特色社会主义思想进教材进课堂进头脑。教学任务是:第一,使学生了解数字电子技术的发展及对社会的贡献,理解学习数字电子技术的重要性;第二,了解数字电子技术中的诸多基本概念,掌握数制、码制及其它们之间的转换,了解逻辑函数的化简对实际数字电路设计的重要性,掌握逻辑函数的化简方法;第三,了解组合逻辑电路的特点,理解各种逻辑门的功能和用途,掌握组合逻辑电路的分析、设计方法,熟悉组合逻辑电路器件的应用;第四,理解时序电路的特点,熟悉各种触发器的功能,掌握时序逻辑电路的分析方法以及时序电路器件的应用,特别要理解555定时器的功能和用途;第五,了解DAC和ADC在数字信息技术中的作用,更多数模和模数之间的转换方法,了解可编程逻辑器件的发展及应用。 应该注意的是,本课程的理论教学和实践环节学时上相差不多,这充分说明了课程实践性环节的重要性,在教学中应严格按照“德能并举、工学交融”的要求,在3个模块的教学实施中,始终牢记习主席强调的:职业技术是国民教育体系和人力资源开发的重要组成部分,是广大青少年打开通往成功成才大门的重要途径,肩负着培养多样化人才、传承技术技能、促进就业创业的重要职责,必须高度重视、加快发展。授课过程中弘扬劳动光荣、技能宝贵、创造伟大的时代风尚,不忘落实立德树人的根本任务,为国家培养具有社会主义核心价值观和社会主义先进文化的、具备一定的数字电子技术知识和技能的高素质人才。 项目一:数字逻辑基础 教学内容: 1.数制和码制; 2.逻辑代数及公式化简法; 3.逻辑函数的卡诺图化简法。 教学要求: 1.理解数制和码制,了解各种计数制的特点,掌握数制之间的转换,理解编码和代码的概念; 2.熟悉逻辑代数的常用公式、基本定律、特殊定律以及运算规则,掌握逻辑函数的公式化简法; 3.理解最小项的概念,了解相邻原则,掌握逻辑函数的卡诺图表示法和化简法。 教学学时: 考虑到基础必须打牢,建议本项目理论教学8学时,实践教学4学时,共计12学时。 项目二:集成逻辑门电路 教学内容: 1.电子开关特性; 2.常用逻辑门; 3.集成逻辑门; 4.集成逻辑门使用中的问题。 教学要求: 1.了解数字电子技术中的电子开关是什么,理解由半导体二极管、三极管和MOS管构成的电子开关条件和特性;

高中技术教案数字电子技术与电路设计

高中技术教案数字电子技术与电路设计 高中技术教案 数字电子技术与电路设计 一、引言 数字电子技术与电路设计是高中技术教育中重要的一门课程,通过 学习这门课程,可以培养学生的创新思维和实践能力。本教案的目标 是帮助学生全面了解数字电子技术的基本原理和电路设计的基本方法,并通过实践操作提高学生的动手实践能力。 二、教学目标 1. 理解数字电子技术的基本概念和原理; 2. 掌握基本的数字电路的设计方法; 3. 能够用数字电子技术解决实际问题; 4. 培养学生的团队合作能力和创新思维。 三、教学内容 1. 数字电子技术的基本原理 a. 数制与编码 b. 逻辑门电路 c. 组合逻辑电路

d. 时序逻辑电路 2. 电路设计的基本方法 a. 逻辑功能的分析与设计 b. 电路的优化与简化 c. 电路的仿真与测试 d. 电路的实际制作与调试 四、教学方法 1. 理论讲授 通过讲解数字电子技术的基本概念和原理,引导学生理解电子技 术的基本知识。讲授过程中,注重理论与实际应用的结合,激发学生 的兴趣。 2. 实验操作 给学生提供实验平台,让学生亲自操纵数字电子元器件,进行电 路的设计和仿真实验。通过实践操作,提高学生的动手实践能力和创 新思维。 3. 小组合作 将学生分为小组,进行合作学习和团队合作。每个小组分工合作,共同完成一个数字电路的设计和制作任务。通过小组讨论和交流,培 养学生的合作意识和团队合作能力。

五、教学评估 1. 日常评价 在课堂中,通过观察学生的参与情况、问题解决能力和实验操作 的结果,进行日常评价。评价的内容包括学生对理论知识的掌握情况,实验操作的熟练程度和团队合作能力等。 2. 综合评价 在学期末,通过考试和项目展示的方式进行综合评价。考试的内 容涵盖数字电子技术的基本原理和电路设计的基本方法。项目展示要 求学生展示他们完成的数字电路设计作品,并进行演示和说明。 六、教学资源 1. 教材和参考书籍 准备一套完整的教材,包括数字电子技术的基本原理和电路设计 的基本方法。同时,提供一些参考书籍,供学生深入研究和扩展学习。 2. 实验设备和工具 准备足够数量的数字电子元器件和实验设备,供学生进行实验操作。确保每个学生都能参与实验操作,并能够熟练掌握实验设备的使 用方法。 七、教学过程 1. 预习阶段

相关主题
相关文档
最新文档