数电实验彩灯控制电路
彩灯控制器设计及实验报告三篇

彩灯控制器设计及实验报告三篇篇一:多路彩灯控制器的设计一课程设计题目(与实习目的)(1)题目:多路彩灯控制器(2)实习目的:1.进一步掌握数字电路课程所学的理论知识。
2.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。
3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。
4.培养认真严谨的工作作风和实事求是的工作态度。
5.作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。
二任务和要求实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。
因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。
(1)彩灯控制器设计要求设计一个8路移存型彩灯控制器,要求:1.彩灯实现快慢两种节拍的变换;2.8路彩灯能演示三种花型(花型自拟);3.彩灯用发光二极管LED模拟;4.选做:用EPROM实现8路彩灯控制器,要求同上面的三点。
(2)课程设计的总体要求1.设计电路实现题目要求;2.电路在功能相当的情况下设计越简单越好;3.注意布线,要直角连接,选最短路径,不要相互交叉;4.注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。
三总体方案的选择(1)总体方案的设计针对题目设计要求,经过分析与思考,拟定以下二种方案:方案一:总体电路共分三大块。
第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。
主体框图如下:方案二:在方案一的基础上将整体电路分为四块。
第一块实现花型的演示;第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。
并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。
主体框图如下:(2)总体方案的选择方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相对简单。
彩灯控制电路设计与实现(VHDL编程)

实验名称:彩灯控制电路设计与实现实验任务要求:用VHDL 语言设计并实现一个彩灯控制电路,仿真并下载验证其功能。
彩灯有两种工作模式 ,可通过拨码开关或按键进行切换。
(1)单点移动模式:一个点在8个发光二极管上来回的亮(2)幕布式:从中间两个点,同时向两边依次点亮直至全亮,然后再向中间点灭,依次往复设计思路和过程:可以将两种模式分开设计,再用一个控制钮进行切换。
两种模式本质一样,都是循环点亮灯。
可以用状态机设计。
首先明确设计对象的外部特征,输入信号只有时钟信号cp 和切换按钮此处取a;输出为检测的八个彩灯亮暗。
根据设计对象的操作控制步来确定有限状态机的状态。
取初始状态为s0,第一种模式共有14种状态循环,因而可取状态s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12,s13;第二种模式有八种状态,可共用第一种模式中的前八种状态,即为s0,s1,s2,s3,s4,s5,s6,s7。
根据题目要求的循环可以写出各个状态的下一状态,写完整个循环为止。
切换按钮可以用一个If 来总领。
单点移动模式:S0 S5S13 S7S8 S9 S10 S11 S1 S2 S3 S4S12 S6幕布式:流程图:彩灯电路设计语言:library ieee;use ieee.std_logic_1164.all;entity pmd isport(a,cp:in std_logic; %输入信号deng: out std_logic_vector(7 downto 0) %输出灯信号);end pmd;architecture arch of pmd istype all_state is (s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12,s13);%枚举状态机状态 S0 S7 S6 S1 S5 S4S2 S3signal state:all_state; %状态转换符号begin %开始程序process(cp)beginif (cp'event and cp='1')then %时钟信号开始计数if(a='1')then %单点循环模式case state iswhen s0=> state<=s1;deng<="00000001"; %状态循环when s1=> state<=s2;deng<="00000010";when s2=> state<=s3;deng<="00000100";when s3=> state<=s4;deng<="00001000";when s4=> state<=s5;deng<="00010000";when s5=> state<=s6;deng<="00100000";when s6=> state<=s7;deng<="01000000";when s7=> state<=s8;deng<="10000000";when s8=> state<=s9;deng<="01000000";when s9=> state<=s10;deng<="00100000";when s10=> state<=s11;deng<="00010000";when s11=> state<=s12;deng<="00001000";when s12=> state<=s13;deng<="00000100";when s13=> state<=s0;deng<="00000010";when others =>state<=s0;deng<="00000000";end case;Else %切换为幕布式case state iswhen s0=> state<=s1;deng<="00011000";when s1=> state<=s2;deng<="00111100";when s2=> state<=s3;deng<="01111110";when s3=> state<=s4;deng<="11111111";when s4=> state<=s5;deng<="01111110";when s5=> state<=s6;deng<="00111100";when s6=> state<=s7;deng<="00011000";when s7=> state<=s0;deng<="00000000";when others =>state<=s0;deng<="00000000";end case;end if;end if;end process; end arch;输入波形:添加五十分频后的仿真程序:library ieee;use ieee.std_logic_1164.all;entity cd isport(a,clk:in std_logic;q: out std_logic_vector(7 downto 0));end cd;architecture a of cd istype all_state is (s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12,s13);signal state:all_state;signal cnt : integer range 0 to 24999999; %50分频状态signal clk_tmp : std_logic;beginprocess(clk)Begin %开始运行分频信号if (clk'event and clk='1') thenif cnt=24999999 thencnt<=0;clk_tmp<= not clk_tmp;elsecnt<=cnt+1;end if;end if;if (clk_tmp'event and clk_tmp='1')then %分频后运行彩灯程序if(a='1')thencase state iswhen s1=> state<=s2;q<="00000010";when s2=> state<=s3;q<="00000100";when s3=> state<=s4;q<="00001000";when s4=> state<=s5;q<="00010000";when s5=> state<=s6;q<="00100000";when s6=> state<=s7;q<="01000000";when s7=> state<=s8;q<="10000000";when s8=> state<=s9;q<="01000000";when s9=> state<=s10;q<="00100000";when s10=> state<=s11;q<="00010000";when s11=> state<=s12;q<="00001000";when s12=> state<=s13;q<="00000100";when s13=> state<=s0;q<="00000010";when others =>state<=s0;q<="00000000";end case;elsecase state iswhen s0=> state<=s1;q<="00011000";when s1=> state<=s2;q<="00111100";when s2=> state<=s3;q<="01111110";when s3=> state<=s4;q<="11111111";when s5=> state<=s6;q<="00111100";when s6=> state<=s7;q<="00011000";when s7=> state<=s0;q<="00000000";when others =>state<=s0;q<="00000000";end case;end if;end if;end process;end a;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity cd isport(a,clk:in std_logic;q: out std_logic_vector(7 downto 0));end cd;architecture a of cd istype all_state is (s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12,s13); signal state:all_state;beginprocess(clk)beginif (clk'event and clk='1') thenif(a='1') thencase state iswhen s0=> state<=s1;q<="00000001";when s1=> state<=s2;q<="00000010";when s2=> state<=s3;q<="00000100";when s3=> state<=s4;q<="00001000";when s4=> state<=s5;q<="00010000";when s5=> state<=s6;q<="00100000";when s6=> state<=s7;q<="01000000";when s7=> state<=s8;q<="10000000";when s8=> state<=s9;q<="01000000";when s9=> state<=s10;q<="00100000";when s10=> state<=s11;q<="00010000";when s11=> state<=s12;q<="00001000";when s12=> state<=s13;q<="00000100";when s13=> state<=s0;q<="00000010";when others =>state<=s0;q<="00000000";end case;elsecase state iswhen s0=> state<=s1;q<="00011000";when s1=> state<=s2;q<="00111100";when s2=> state<=s3;q<="01111110";when s3=> state<=s4;q<="11111111";when s4=> state<=s5;q<="01111110";when s5=> state<=s6;q<="00111100";when s6=> state<=s7;q<="00011000";when s7=> state<=s0;q<="00000000";when others =>state<=s0;q<="00000000";end case;end if;end if;end process;end a;故障及问题分析:1.编写这个程序时,很自然地想到用一个输入信号控制两种模式的切换,但把这个输入放在哪里,如何放,开始出现了几种想法。
彩灯控制电路的设计与制作

《数字逻辑电路》实训报告专业:学生姓名:学号:指导教师:彩灯控制电路的设计与制作1 整机设计设计要求(1)设置外部操作开关,它具有控制彩灯亮点的右移、左移、全亮及全灭等功能;(2)彩灯亮点移动时间间隔取1秒;(3)彩灯的布图形状随意;(4)让学号的最后两位编码点亮相应的灯,能实现循环左右移,可控制彩灯亮灭速度。
1.1.1设计任务两片74LS194移位寄存器构成核心部件,74LS194的S0、S1控制彩灯循环的方向,即左移右移,控制端MR可实现清零功能;一片555构成多谐振荡器输出时间脉冲;设计制作一个8路彩灯控制器。
1.1.2性能指标要求彩灯能实现循环左移,右移,全亮,全灭等基本功能,和预置数的扩展功能。
整机实现的基本原理及框图1.2.1基本原理由555构成的多谐振荡器输出时间脉冲提供给74LS194;通过1个拨码开关控制2个74LS194的清零端,实现全亮、全灭功能;通过两组4位共8个拨码开关来控制8个彩灯的预置;通过2个拨码开关来选择S1,S0的状态,分别实现彩灯的左右移动、保持和预置的功能。
1.2.2总体框图2 各功能电路实现原理及电路设计由555构成的多谐振荡器主要用来产生脉冲信号。
555定时器是一种模拟和数字功能相结合的中规模集成器件。
一般用双极性工艺制作的称为555,用CMOS工艺制作的称为7555,除单定时器外,还有对应的双定时器556/7556。
555定时器的电源电压范围宽,可在5~16V工作,最大负载电流可达200mA,7555可在3~18V工作,最大负载电流可达4mA,因而其输出可与TTL、CMOS或者模拟电路电平兼容。
555定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。
按一下运行键后,可看见输出端是出现高低电平交替,时间间隔是由电路中的电容和电阻决定。
两片74LS194芯片来实现彩灯的左右移功能。
3 制作与调试过程制作:首先读懂老师给的基本原理图后,通过查找资料自行加上时钟信号发生器部分。
彩灯控制数电实验报告

数字电子技术课程设计报告题目:专业:班级:学号:姓名:指导教师:设计日期:目录一、设计目的作用――――――――――――――(1)二、设计要求――――――――――――――――――(1)三、设计的具体实现―――――――――――――――(1)四、总结――――――――――――――――――(10)五、附录――――――――――――――― (11)六、参考文献――――――――――――――― (12)彩灯控制电路一.设计目的作用随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓灯。
由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。
二.设计要求1.控制红、绿、黄一组彩灯循环闪亮,变化的规律是:红→红绿→绿→黄绿→黄→全亮→全灭→红,如此循环,产生“流水”般的效果。
2.“流水”的速度由快到慢,再由慢到快循环变化。
三、设计的具体实现1、系统概述彩灯控制电路由三个模块构成,显示电路﹑秒脉冲电路和维持电路。
秒脉冲电路全程为电路提供矩形波信号使彩灯定时发亮;显示电路为维持电路提供电源:维持电路在显示电路部分提供电源的情况下为电路提供一段较长的高电平,使彩灯在全部变亮后保持一段时间。
同时结合显示电路部分所带元件(主要是74LS194)的性质,使彩灯从右到左依次由暗变亮,亮后维持一段时间,然后熄灭,并且不断重复。
设计及框图如下2、单元电路设计与分析(1)﹑秒脉冲电路图 1、秒脉冲发生电路本电路秒脉冲电路由一个集成的555定时器够成,当电源接通后,VCC通过对R1﹑R2向电容器充电。
电容上得到电压按指数规律上升,当电压上升到2/3VCC时,输出电压V0为零,电容器放电。
当电压下降到1/3VCC时,输出电平为高电平,电容器放电结束。
这样周而复始形成了振荡。
脉冲发生器由NE555与R1,R2,RP,C1,C2组成的多谐振荡器组成,它是为灯光流动控制器提供流动控制脉冲的,多谐振荡器的振荡频率可根据所需要的灯光流动速度,通过RP进行调节,由于RP阻值较大,所以有较大的调速范围。
《数字电路》课设彩灯循环控制电路设计

《数字电子技术课程设计》报告——彩灯循环控制电路设计摘要本次电路设计利用555定时器、计数器等设计LED彩灯控制电路。
通过按键实现如下循环特性:当按键没有按下时8个彩灯交叉循环点亮:即在前四秒内第1、3、5、7盏灯依次点亮、后四秒内8、6、4、2盏灯依次点亮,而当按键按下一次后(按下两次等效于没有按下),实现8盏灯依次循环点亮(产生灯光追逐音乐、活跃气氛的效果),并设计成同步电路模式。
用555定时器设计的多谐振荡器来提供时序脉冲,其优点是在接通电源之后就可以产生一定频率和一定幅值矩形波的自激振荡器,而不需要再外加输入信号。
由于555定时器内部的比较器灵敏度较高,而且采用差分电路形式,这样就使多谐振荡器产生的振荡频率受电源电压和环境温度变化的影响很小。
之后脉冲信号输入到计数器,同时将计数器输出端QC、QB、QA接到译码器的输入端,当译码器输出电平为低电平时,与其相连接的LED会变亮。
LED采用共阳极连接,并串上500Ω的电阻。
电路由按键SPST_NC_SB控制,使彩灯进入到不同的循环模式。
电路图连接好后,经Multisim软件调试测试,电路可以实现设计要求,即实现从题中要求的交叉循环显示和音乐序列的循环显示。
整体电路采用同步电路模式,采用TTL集成电路,电压V均为5V。
运用了所学的555定时器、译码器、cc计数器与逻辑门电路等相应的电路器件,提高了对于数字电子技术这门专业基础课的认识与理解,在实践中发现不足,努力改正,提高了我自学、创新等能力,同时我们也掌握了相应设计电子电路的能力,有利于今后对于专业课程的学习。
关键词:555定时器计数器译码器彩灯循环控制目录引言 (1)1.课程设计目的 (1)2.课程设计要求 (2)3.电路组成框图 (3)4.元器件清单 (3)5.各功能块电路图 (3)5.1 脉冲信号发生器 (3)5.1.1 555定时器 (3)5.1.2 多谐振荡器 (5)5.2 顺序脉冲发生器 (6)5.3 彩灯循环系统 (8)6.仿真电路总图 (8)7.结果分析 (9)8.总结 (9)参考书目 (10)附录 (10)引言数字电子技术实验是一门重要的实践性技术基础课程,开设本课程的目的在于使学生理论联系实际,在老师的指导下完成大纲规定的实验任务。
数电课程设计报告彩灯控制器

一、设计题目彩灯控制器 要求:1、有10只LED ,L 0、L 1、L2、L3、L4、L5、L6、L7、L8、L 9; 2、显示方式:① 先奇数灯依次熄灭; ② 再偶数灯依次熄灭; ③ 再由L 0 到L 9 灯依次熄灭; 3、显示间隔可自定。
注意:由于本题在Multisim10上做的仿真,所以此题仿真电路只能在Multisim10版本或以上运行。
二、实验器材74161 2个 与非门 1个 函数信号发生器1个+5V 电源 2个 74LS138 5个 与门 若干LED 灯10个非门10个三、设计方案 1、按课程设计题目来看,要求实现彩灯的23 种状态,此处可以用一个23 进制的计数器实现,从0 到22 种状态来控制这23 种状态(00000-10110),然后把计数器用译码器译成可以实现的高低电平。
再写出这23 种状态和计数器数字对应的真值表,计算出逻辑式,便可实现题目要求的彩灯控制。
由上分析可知需要一个23进制的计数器,然后需要一个5-32译码器,然后在由门电路连接LED 灯。
如下图所示:2、各个模块的原理(1)、 23 进制计数器:用2 个74161进行并行连接成23 进制计数器; (2)、5-32 译码器:用5 个74LS138 实现5-32 译码功能; (3)、各门电路的连接:根据真值表,用与门将各个电路和LED 灯连接起来。
3、真值表由23进制计数器输入一个五位二进制数(00000-10110),输出彩灯所对应的状态(1表示灯亮,0表示灯灭),对应的真值表如下:10个LED灯各个门电路的连接5-32译码器函数信号发生器23进制计数器L0L1L2L3L4L5L6L7L8L90 1 1 1 1 1 1 1 1 1 11 1 0 1 1 1 1 1 1 1 12 1 0 1 0 1 1 1 1 1 13 1 0 1 0 1 1 1 1 1 14 1 0 1 0 1 0 1 1 1 15 1 0 1 0 1 0 1 0 1 16 1 0 1 0 1 0 1 0 1 07 1 1 1 1 1 1 1 1 1 18 0 1 1 1 1 1 1 1 1 19 0 1 0 1 1 1 1 1 1 110 0 1 0 1 0 1 1 1 1 111 0 1 0 1 0 1 0 1 1 112 0 1 0 1 0 1 0 1 0 113 1 1 1 1 1 1 1 1 1 114 0 1 1 1 1 1 1 1 1 115 0 0 1 1 1 1 1 1 1 116 0 0 0 1 1 1 1 1 1 117 0 0 0 0 1 1 1 1 1 118 0 0 0 0 0 1 1 1 1 119 0 0 0 0 0 0 1 1 1 120 0 0 0 0 0 0 0 1 1 121 0 0 0 0 0 0 0 0 0 122 0 0 0 0 0 0 0 0 0 0四、各个模块的制作1、23进制计数器23进制计数器是由两个16进制计数器构成,并且将23进制计数器的每个状态输出,令其对应LED的每一种状态,计数器实现如下图:图1 23进制计数器注:上图中左侧的5个输出从左到右电位依次升降低。
《数字逻辑电路》彩灯控制电路实训报告
《数字逻辑电路》彩灯控制电路实训报告1 整机设计1.1 设计要求1.1.1设计任务利用所学的数字逻辑电路的相关理论知识设计并制作一个彩灯控制电路。
1.1.2性能指标要求1. 具有控制彩灯右移、左移、全亮及全灭等功能;2. 彩灯移动至少有 4 种速度;3. 可以实现循环左、右移;4. 彩灯的布图形状随意。
1.2 整机实现的基本原理及框图1.2.1基本原理彩灯控制电路利用移位寄存器(SN74LS194N)、定时器(NE555)和控制逻辑电路等元件相互配合,通过控制移位寄存器的状态和定时器的脉冲信号,实现彩灯的亮灭和移动效果。
1.2.2总体框图2 各功能电路实现原理及电路设计1. 脉冲产生电路使用NE555定时器芯片,NE555工作在脉冲发生器模式下,产生可调节频率的方波脉冲信号。
通过调节电阻和电容值,可以调节脉冲的周期。
2. 移位寄存器电路使用74LS194N移位寄存器芯片,它可以存储和移动数据。
在这里,我们将其配置为移动模式,用于控制彩灯的移动和亮灭。
3 制作与调试过程用 Altium Designer 软件画出电路原理图和 PCB 图;根据提供的电路设计图和所需元件清单,准备好所需的电子元件和工具;将元件按照电路设计图的连接方式焊接在电路板上。
确保焊接的连接正确并且没有短路;仔细检查电路的连接,确保所有元件都正确连接,并且没有接触不良或短路现象;将电路连接到电源上,确保电源的电压和电流符合元件的额定值,并确保极性正确。
逐步测试电路的各个功能模块,首先测试脉冲产生电路,确保它能够产生可调节周期的脉冲信号;然后测试移位寄存器电路,确保它能够正确存储和移动数据。
4 电路测试4.1 测试仪器与设备示波器;信号发生器;万用表。
4.2 功能测试使用开关,测试彩灯控制电路对按键的响应,观察LED指示灯的状态。
5 实训结论本次实训中,我们设计了一个彩灯控制电路,包括脉冲产生电路和移位寄存器电路。
通过功能测试,我得出了以下结论:1. 彩灯控制电路可调周期的脉冲产生电路,使用NE555定时器芯片实现。
彩灯的控制实训报告
实训题目:彩灯的控制1.整机设计1.1 设计要求1.1.1 设计任务设计由几种常用集成数字芯片组成的彩灯控制电路,彩灯用8个发光二极管代替,设置外部操作开关,它具有控制彩灯亮点的右移、左移、全亮及全灭等功能。
1.1.2 性能指标要求一、设置外部操作开关,它具有控制彩灯亮点的右移、左移、全亮及全灭等功能二、彩灯亮点移动时间间隔取1秒三、占空比约等于50%四、彩灯的布图形状随意1.2 整机实现的基本原理及框图1.2.1 基本原理通过两片集成双向移位寄存器74LS194和拨码开关控制右移﹑左移和一个拨码开关进行预置端让其全亮﹑全灭和一个由555芯片构成的CP产生电路。
移位寄存器74LS194是一个具有移位功能的寄存器,寄存器中所存的代码能够在一位脉冲的作用下依次左移或右移,555芯片是一种产生时间延迟和多种脉冲信号的电路,并且集成芯片74LS04是非门,能进行循环。
所以此电路的基本原理是由555芯片产生脉冲,传给移位寄存器74LS194,再经过非门与拨码开关实现循环、左移、右移、全亮、全灭的功能。
1.2.2 总体框图总体框图2.各功能电路实现原理及电路设计 整个电路的设计电路图:(1) 电源输入接口由一个接口和一个发光二极管(如果接入正确则灯亮)和一个300欧的电阻保护。
其电路图如下:电 源 输 入 接 口彩 灯 控 制 电 路555 芯 片 CP 产 生 电 路彩 灯 演 示 电 路电源输入接口(2)555芯片CP产生电路方案一:由555定时器接成多谐振动器。
其图如下:555定时器接成多谐振动器图振动周期: T=0.7(R1+2R2)C输出脉冲占空比: q=(R1+R2)/(R1+2R2)方案二:由555定时器接成多谐振动器但其占空比可调。
其图如下555定时器接成多谐振动器占空比可调图在这次电路设计中我选择的CP产生电路是第2中方案,是为了便于调占空比。
电容取:4.7μf 0.01μf电阻取:两个47kΩ 50kΩ精密电位器:50kΩ精密电位器其原理图如下:555芯片CP产生电路(2)彩灯控制电路2片移位寄存器74LS194级联实现。
数电课程设计彩灯循环控制电路设计
数电课程设计--彩灯循环控制电路设计《数字电子技术课程设计》报告——彩灯循环控制电路设计1.课程设计目的1.1巩固和加强“数字电子技术”课程的理论知识的理解和应用。
1.2独立设计出比较复杂的实用数字电子线路。
1.3提高电子电路实验技能及Multisim10仿真软件的使用能力。
1.4通过数字电子线路的设计、安装和调试,初步掌握数字电子线路单元电路的分析与设计方法。
1.5巩固所学理论,提高动手能力、创新能力和综合设计能力2.课程设计要求2.1所设计彩灯要能够自动循环点亮。
2.2彩灯循环显示且频率快慢可调。
3.3控制电路具有8路以上输出。
3.电路组成框图电源接入↓555定时电路↓计数器电路↓译码器电路↓彩灯演示电路4.元器件清单器材数量555 time rated 174HC163D_6V 174HC154DW_6V 1彩色发光二极管 8100Ω电阻 1800k电阻 25V电压源 1100nF可变电容 110nF电容 15.各功能块电路图5.1时钟信号产生电路通过调节C1来调节555定时器输出频率。
VCCOUTU3555_TIMER_RATEDGNDDIS RSTTHR CONTRI R41mΩR51mΩC210nF 14C1100nF Key=A45%1620VCC5.2计数电路使74HC163D 计数器实现000至111的计数循环。
U174HC163D_6VQA 14QB 13QC 12QD 11RCO15A 3B 4C 5D 6ENP 7ENT 10~LOAD 9~CLR 1CLK2U274HC154DW_6VO23O34O56O45O67O12O78O01O89O910O1011O1113O1214O1315O1416O1517A 23B 22C 21D20~G118~G219VCCOUTU3555_TIMER_RATEDGNDDIS RST THR CONTRI 13910115.3译码显示电路用100Ω连接在5V 电源与LED 灯之间,来保证LED 灯上通过的电流处于最大电流内,用74HC154来实现计数器输出数字的译码,从而使对应灯亮起。
彩灯控制电路设计
彩灯控制电路设计1、设计任务利用74LS194移位寄存器为核心器件设计一个八路彩灯循环系统,实现以下花型:花型I-8路彩灯从左到右依次熄灭,循环进行。
花型II-8路彩灯分成两半,从左至右依次熄灭,循环进行。
并且两种花型可以随意控制。
2、仪器设备及元器件1)+5V直流稳压电源2)EEL-69模拟、数字电子技术实验箱3)2个74LS194移位寄存器,一个74LS00,2个74LS20,电阻,电容,导线若干。
3、实验原理如图1-1即为电路工作原理图。
主要由振荡器、串行/并行转换器及电平指示灯组成。
(1)自环型自激多谐振荡电路。
二输入端四与非门集成电路74LS00组成带RC的环形自激多谐振荡电路,原理图如图1-2所示,振荡频率由RC时间常数来决定。
按原理图中锅出的数值,调整电位,在74LS00的3Y输出端可得到0.5~25Hz范围内连续的方波脉冲。
(2)串行/并行转换器。
如图1-3,当开关K拨向2时,是用两个74LS194四位双向移位寄存器组成的七位串/并行数据转换电路。
电路中S0端接高电平1,S1当Q7=1时,S1为零,使之成为S1S0=01的串联右移工作方式;当Q7=0时,S1=1,有S1S0=11,则串行送数结束,标志着串行输入的数据已经转换成并行输入了。
串行/并行转换的具体过程如下:转换前,C R端加低电平,使(I)(II)两片寄存片清零,此时S1S0=11,寄存执行并行输入工作方式。
当第一个CP脉冲到来后,寄存器的输入状态Q0~Q7为01111111,与此同时S0S1变为01,转换电路变为执行串入右移工作方式,串行输入数据由(I)片的S R端加入。
随着CP脉冲的依次加入,输出状态的变化如表。
CP Q0Q1Q2Q3 Q4 Q5Q6Q7说明0 0 0 0 0 0 0 0 0 清零1 0 1 1 1 1 1 1 1 送数2 3 4 5 6 7 8 d00 1 1 1 1 1 1d1d00 1 1 1 1 1d2d1d00 1 1 1 1d3d2d1d00 1 1 1d4d3d2d1d00 1 1d5d4d3d2d1d0 0 1d6 d5d4d3d2d1d00右移操作七次9 0 1 1 1 1 1 1 1 送数由表可见,右移操作七次后,Q7变为了0,S1S0又变为11,说明串行输入结束。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验八彩灯控制电路
8.1 实验目的
1.熟悉计数器的应用。
2.掌握序列信号的产生方法。
8.2 实验设备
1.74LS90。
2.74LS00。
3.74LS86。
4.74LS138。
8.3 实验要求
输入控制信号S1,S0实现如下的电路:
8.4 实验内容
1、当时:
S1,S0全为高电平或全为低电平的时候,此时的结果均为O,而要使灯亮需为高电平1,所以在每个灯前接个与非门即可,当电路处于其他状态时,为1,将对与非门的结果没有影响。
2、当时:
由于为1,将对与非门的结果没有影响,此时靠其他输入来控制灯。
先利用74LS90构成一个四进制计数器。
对于计数器的是输出结果,进行相应的逻辑变换,将输出结果送给与非门即可得到相信的灯控制信号,这里我们利用74LS138进行相应的功能转换,根据其特性,可以选四个端口连接到与非门的输入端,那么现在需要确定的就是计数器到译码器的连接方式,我们可以通过列写真值表的方法来求得。
3、由图可以求出逻辑表达式为:
8.5 实验电路图:。