电子实习(四人抢答器)报告(附各种四人抢答器线路图,实物图)

电子实习(四人抢答器)报告(附各种四人抢答器线路图,实物图)
电子实习(四人抢答器)报告(附各种四人抢答器线路图,实物图)

电子(四人抢答器)实习报告

班级:姓名:学号:

实习时间:2月22日——3月9日

一、实习目的

学会认识辨别各电子元件及其功能配置,掌握protel应用技术,学会自己设计和思考,熟练焊接技术,最终做出能够正常工作的项目,增强我们的实践经验。

二、实习内容

1、听取与本次实习相关理论讲座;

2、理解四人抢答器原理,设计四人抢答器电路;

3、学会使用protel软件,并作出四人抢答器的原理图和相应的PCB图;

4、学习制版,并熟练掌握焊接技术;

5、分析电路,焊接制作四人抢答器并调试,最终得到成品。

三、实习设计

四人抢答器的功能是供四人进行抢答,四人分别各用四个抢答器,S1、S2、S3、S4,还有一个是复位开关S5供主持人进行抢答器的复位。该抢答器可以通过发光二极管及蜂鸣器来显示四人的抢答情况,一次抢答只会显示一个发光二极管,也就是只有一人能够抢到答题的机会,禁止其他选手抢答,且只要抢到,蜂鸣器会一直响,除非复位。

四人抢答器设计原理图如下:

原理图生成的PCB图(双面板)如下:

原理图生成的PCB图(单面板)如下:

四、问题以及解决

1、关于protel 99:

1)原理图中元件封装问题:从原理图生成PCB图,必经过对原理图各电子元件的封装,将各元件在PCB中适合元件与原理图中各元件的footprint一一对应;

2)对应的焊盘的designator与原理图中的最好相同,否则会导致无法识别而产生error。

2、关于焊接:

1)有些元器件之间的导线很短,并且有的焊点要连接两条甚至三条导线,集成块的各引脚靠的很近,焊不好极易造成短路,这就需要一步步静下心来,细心加

耐心;

2)在焊接过程中尽量不要用手直接接触电路板背面个焊点,防止造成虚焊;

3)在实习中得注意材料的节省,在焊接过程中合理使用工具,以防烫伤及各种其他伤害。

五、结果与调试

实物图:

接通电源,整个四人抢答器没有一处变化。按下S1时,D1发光,蜂鸣器响,此后按下S2、S3、S4还是D1发光,蜂鸣器响。按下复位按钮S5,D1熄灭,蜂鸣器停止响。此后,测试了剩下三个按钮,结果正常,能够完成预定四人抢答器的功能。

六、心得体会

为期三个星期的实习,让我成长了不少。在这三个星期里,我尝过失败再失败的纠结,无法静下心的烦躁,一次成功的喜悦等等。我从没有想过这次的电子实习会给我如此多的情感波动及触动。还记得上次电子设计大赛,我们小组去买器材,什么都不懂,阻值不会辩别,元器件都没有确定他们的型号,有些电阻根本没有那样的成型的阻值就去买,闹出了不少笑话,但通过这次实习,我相信如果让我们再去一次电子市场,我们会从容成熟很多。原理分析和故障诊断讲座也给了我很多,虽然这次四人抢答器的测试是一次成功的,没有用到什么故障分析,但是对于我们的理清思路,思维发散起到了很好的引导作用,我学会了应该怎么思考,怎么分析这种能力才是关键。焊板也不是个简单的活,之前要做很多的工作,电路分析,线路设计,焊点选择等等,即使这些都已经准备好了,焊的过程也很费脑筋,尤其是焊线时是最考验技术的,一个不小心可能就会导致整个电路的不能达到预期的效果,凭着耐心,信心,细心,在终于看到只差测试的电路板时,兴奋无以言语。很宝贝的收着,等到第二天去测试时,一次成功,让我心中的石头落下,看着自己做的四人抢答器,虽然不好看,却依

然开心及自豪。实习就这么过去了,有点舍不得,我希望也坚信会有更多的人从中受益..…

四人抢答器的设计

西安邮电学院 数字电路课程设计报告书 系部名称: 学生姓名: 专业名称: 班级: 实习时间: 题目:四人抢答器 一、课程设计目的 本次课程设计主要是配合《数字电路与逻辑设计》理论课程而设置的一门实践性课程,起到巩固所学知识,加强综合能力,培养电路设计能力,提高实验技术,启发创新思想的效果。 二、初始条件: 本课程设计,要求用集成电路:74LSl48,74LS75,74LS48,74LSl61,74LS00,74LS20,555和数码管等其它器件等,实现四路定时抢答功能。 三、要求完成的主要任务: 1、可同时供4名选手(或代表队)参赛,其编号分别是1到4,各用一个抢答按钮,按钮的编号与选手的编号相对应。给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 2、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在数码管上显示选手的编号,同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 3、抢答器具有定时抢答的功能,且一次抢答的时间为10秒。当主持人启动“开始”键后,要求定时器立即进行倒计时,并用显示器显示。 4、参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。 5、在主持人未按下开始键时,如有人抢答犯规,在显示器上锁存并闪烁犯规选手的

编号。 6、确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 1功能介绍 1.1主要功能介绍 (1)抢答器最多可供4名选手参赛,编号为1~4号,各队分别用一个按钮(分别为J1、J2、J3、J5)控制,并设置一个系统清零和抢答控制开关J4,J7,该开关由主持人控制。(2)抢答器具有数据锁存功能,并将锁存数据用LED数码管显示出来,直到主持人清零。(3)开关J4作为清零及抢答控制开关(由主持人控制),当开关J4被按下时抢答电路清零,当开关J7松开后则允许抢答。输入抢答信号由抢答按钮开关J1、J2、J3、J5实现。(4)有抢答信号输入(开关J1、J2、J3、J5中的任意一个开关被按下)时,并显示出相对应的组别号码。此时再按其他任何一个抢答器开关均无效,指示灯依旧“保持” 第一个开关按下时所对应的状态不变。 设计要求 1.2扩展功能介绍 (1)抢答器具有定时抢答的功能,且一次抢答的时间为10秒。当节目主持人启动“开始” 键后,要求定时器立即倒计时,并用显示器显示。 (2)参加选手在未开始抢答时按下抢答键,则犯规。显示器上显示选手的编号。 (3)参加选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 (4)如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,封锁输入电路,禁止选手超时后抢答,时间显示器上显示0。 2总体方案设计 设计要求 (1)主持人有开始键和复位键,按下开始键后才能开始抢答,否则犯规。 (2)用数码管显示,正常抢答后显示抢到的队号,如果犯规则显示队号。 (3)如果10秒内没有抢答,则说明该题超时作废,用0表示。 (4)复位键用于恢复犯规或超时状态 如图1所示为总体方框图。其工作原理为:接通电源后,主持人将开关拨到"清零"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置;开始"状态,宣布"开始"抢答器工作。定时器倒计时。选手在定时时间内抢答时,抢答器完成:优

八路抢答器实训报告

八 路 抢 答 器 实 训 报 告 姓名:张伟康 学号:1401020044 班级:电信方向

一报告要求 根据实验原理和实验器材设计所需要的电路模型,完成实验步骤和实验过程,得出结论。 1、焊接所注意的事项: (1)设计的方法和原理。焊接一般分四步骤进行: 准备焊接:清洁被焊元件处的积尘及油污,再将被焊元器件周围的元器左右掰一掰,让电烙铁可以触到被焊元器件的焊锡处,以免烙铁头伸向焊接处时烫坏其他元器件。焊接新的元器件时,应对元器件引线镀锡。 加热焊接:将沾有少许焊锡和松香的电烙铁头接触被焊元器件约几秒钟。若是要拆下硬刷板上的元器件,则待烙铁头加热后,用手或镊子轻松拉动元器件,看是否可以取下。 清理焊接面:若所焊部位焊锡过多,可以将烙铁头上的焊锡甩掉(注意不要烫伤皮肤,也不要甩到硬刷电路板上)用光烙锡头“沾”些焊锡出来。若焊点焊锡过少,不圆滑时,可以用电烙铁“蘸”些焊锡对点进行补焊。 检查焊点:看焊点是否圆润、光亮、牢固,是否与周围元器件连焊的现象。(2)造成焊接质量不高的常见原因是: 焊锡用量过多,形成焊点的锡堆积;焊锡过少,不足以包裹焊点。 冷焊。焊接时烙铁温度过低或加热时间不足,焊锡未完全融化、侵润、焊锡表面不光亮(不光滑),有细小裂纹(如同豆腐渣一样) 夹松香焊接,焊锡与元器件或印刷板之间夹着一层松香,造成电接不良。若夹杂加热不足的松香,则焊点下有一层黄褐色松香膜,若加热温度不高,则焊点下有一层碳化松香黑色膜。对于有加热不足的松香膜的情况。可以用烙铁进行补焊。对于已形成黑膜的,则要“吃”进焊锡,清洁被焊元器件或印刷板表面,重新进行焊接才行。 焊锡连桥:指焊锡量过多,造成元器件的焊点之间短路。对于超小元器件及细小印刷电路板进行焊接时要尤为注意。 焊剂过量:焊点明围松香残渣过多,当少量松香残留时,可以用电烙铁再轻轻加热一下,让松香挥发掉,也可以用蘸有无水酒精的棉球,擦去多余的松香或焊剂。 焊接表面的焊锡形成尖锐的突尖,这是由于加热温度不足或焊剂过少,以及烙铁离开焊点时角度不大造成的。 (3)电路的焊接 用电烙铁与焊锡丝将各个元器件焊接在电路板上:a左手拿焊锡丝,右手拿电烙铁。b把电烙铁以45度左右夹角与焊盘接触,加热焊盘。c待焊盘达到温度时,同样从与焊板成45度左右夹角方向送焊锡丝。d待焊锡丝熔化一定量时,迅速撤离焊锡丝。e最后撤离电烙铁,撤离时沿铜丝竖直向上或沿与电路板的夹角45度角方向。在焊接的过程中,我们应该注意:焊接的时间不能太久,大概心里默数1,2即可,然后再撤离焊锡丝,再撤离电烙铁,在撤离电烙铁时,也一样心里默数1、2即可;焊锡要适量,少了可能虚焊。在焊的过程中,出现虚焊或则焊接不好,要把焊锡焊掉,重新再焊。在吧焊锡焊掉的过程中,左手拿这吸锡器,右手拿着电烙铁,先把电烙铁以45度左右夹角与焊盘接触,加热焊锡,再将吸锡器靠近焊锡,按下吸锡器的按钮,就可以吧焊锡焊掉,重复多次,就可清除焊盘上的焊锡,注意不要将焊盘加热太久,以免把焊盘的铜给焊掉。

四人抢答器课程设计报告

电子技术课程设计 智力竞赛抢答器 姓名:郑亚林 班级:08级电子一班 学号:200800800461 指导老师:李素梅

四人智力竞赛抢答器 一、设计目的 1、掌握四人智力竞赛抢答器电路的设计。 2、熟悉数字集成电路的设计和使用方法。 3、掌握应用Multisim软件对电路的设计及仿真 二、设计任务与要求 1、设计任务 设计一个可供4名选手参加比赛的智力竞赛抢答器的数字电路。设定答题时间为30秒倒计时,时间到时,蜂鸣器会报警至主持人按下复位键。选手抢答时,数码显示选手组号,同时计分部分对应选手组别的发光二极管发光,在30秒内答对时主持人按下加分键,给相应的组别加一分。 2、设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为J1,J2,J3,J4。 (2)给主持人设置一个控制按钮J5,用来控制系统清零(抢答显示数码管灭灯)和一个加分按钮J6,用来给同学加分,设定最高分为9 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时30秒答题倒计时的功能。当选手按下抢答按钮后,开始倒计时,显示器显示倒计时间,倒计时结束时,扬声器响,保持到主持人将系统清零为止。 三、四人智力竞赛抢答器电路原理及设计 1、设计思路 抢答器整个系统可分为三个主要模块:抢答鉴别模块、计分模块、答题倒计时模块。即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码,同时用数码管把选手的编码显示出来,对应加分模块的发光二极管也会发光。当有选手抢答成功后,30秒答题倒计时开始跑秒,若选手在规定的时间内答对题,主持人按下J6给相应的选手加一分并且按下J5,系统清零。 2、系统框图 抢答开始后,当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。然后在译码器中译码,将触发器输出的数据转换为数码管需要的逻辑状态。最后在显示电路中显示出所

人竞赛抢答器实验报告

数电实验报告 姓名:侯婉思 专业:通信工程 班级:1111 学号: 指导老师:田丽娜 四人竞赛抢答器实验报告 一.前言 现今,形式多样、功能完备的抢答器已广泛应用于电视台、商业机构、学校、企事业单位及社会团体组织中,它为各种知识竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。 对于抢答器我们大家都知道那是用于选手做抢答题时用的,选手进行抢答,抢到题的选手来回答问题。抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。选手们都站在同一个起跑线上,体现了公平公正的原则。 本文介绍了一种用74系列常用集成电路设计的高分辨率的4路抢答器。该抢答器为全数字集成电路设计,具有分组数多、分辨率高等优点。该抢答器除具有基本的抢答功能外,还具有优先能力,定时及复位功能。主持人通过控制开关使抢答器达到复位的功能。 二.实验目的 1. 学习并掌握抢答器的工作原理及其设计方法 2. 熟悉各个芯片的功能及其各个管脚的接法。 3. 灵活运用学过的知识并将其加以巩固,发散思维,提高学生的动手能力和思维的缜密。 三.设计任务与要求 1、设计任务 设计一台可供4名选手参加比赛的竞赛抢答器。选手抢答时,数码显示选手组号。 2.设计要求: 抢答器的基本功能: 1.设计一个智力抢答器,可同时供四名选手或四个代表队参加比赛,编号为一,二,三,四,各用一个抢答按钮,分别用四个按钮S0——S3表示。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管清零)。 3.抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,此外,要封锁输入电路,实现优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。

制作4人简易抢答器教案

制作4人简易抢答器教案

新课导入: 一、电路组成 智力竞赛抢答器是一种在智力游戏或竞赛流行时的电子设备,参加竞赛的多人中,只要有一人首先按下抢答器,则其后按下的人为无效,直到主持人按下复位后下一轮竞赛才开始。本任务所制作的四人抢答器可供四位参赛者使用,电路组成如图6-8所示。图中IC1为四--三态RS锁存器CD4043,IC2为双四输入或非门CD4002,它们组成四路按键输入与互锁电路。CD4043中的四个置1端S与四个抢答输入按键SB1~SB4相连,四个输出端Q通过CD4002与抢答输入按键的另一端相连。四个复位端R并联后与总复位按键SB5相连,供主持人作总复位用。 二、四--三态RS锁存器CD4043 CD4043的引脚排列如图6-9所示,其内部包含4个基本RS触发器,它采用三态单端输出,由芯片的5脚EN信号控制。CD4043的功能表见表6-7。.新课导入 .讲授新课

图6-9 CD4043的引脚图 表6-7 CD4043的功能表 EN S R Q 0 × × 高阻 1 0 0 Q n(原态) 1 0 1 0 1 1 0 1 1 1 1 × 由表6-7可见,三态RS锁存器是在普通RS触发器的基础上加上控制端EN,其输出端除了出现高电平和低电平外,还可以出现第三种状态——高阻状态。控制端EN(或称为使能端)为高电平有效:当EN=1时为工作状态,实现正常的逻辑功能;当EN=0时输出端呈现高阻状态。 三、电路的工作原理 接通电源后,主持人先按下总复位键SB5,9V工作电压通过SB5加至四个复位端R,使四个触发器均复位,Q端输出低电平,Q端的低电平加至或非门IC2的输入端,反相后变为高电平,使四个抢答输入按键的一端为高电平,而四个RS触发器的置1端S通过下控电阻R1~R4将其置于低电平,整个电路处于等待状态。 当有某一参赛队员,例如,1号队员按下SB1时,高电平能过SB1加至IC1的1S端,1号触发器被置位,1Q输出高电平。一方面通过IC2反相为低电平后使四个抢答按键的一端由高电平变为低电平,使其后按下的按键不能再使它对应的触发器翻转,起到了互锁作用。 SB5为总复位按键,每次抢答过后由主持人按下它,使电路复位后进行下一轮的抢答。结合课件讲授

潍坊学院四路抢答器实习报告

潍坊学院四路抢答器实习报告 电子技术课程设计说明书 电子技术课程设计说明书题目: 四路抢答器系部: 信息与控制工程学院 专业: 测控技术与仪器班级: 2012级二班学生姓名: 窦颜颜学号: 12031240018 指导教师: 李增喜 2014年 5月 28日 1 电子技术课程设计说明书 目录 1 设计任务与要 求 ..................................................................... . (3) 1.1 参赛选手要求...................................................................... ..................................................... 3 1.2 存储要 求 ..................................................................... . (3) 1.3 锁存要 求 ..................................................................... . (3) 2 设计方 案 .....................................................................

.................................................... 42.1 设计思 路 ..................................................................... . (4) 2.2 设计原 理 ..................................................................... . (4) 2.2.1 设计原理及原理 图 ..................................................................... .. (4) 2.2.2 结构框图...................................................................... .................................................. 5 2.3 设计使用的芯片及各芯片的作 用 ..................................................................... .. (6) 2.3.1 74LS48芯 片 ..................................................................... .. (6) 2.3.2 74LS148芯 片 ..................................................................... (7) 2.3.3 74LS279芯 片 ..................................................................... (9)

课程设计四人抢答器实验报告

课程设计四人抢答器实验报告

课题:四人智力抢答器专业: 班级: 学号: 姓名: 指导教师: 设计日期: 成绩: 电气学院

四人智力抢答器设计报告 一、设计目的作用 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计要求 设计一台可供4名选手参加比赛的智力竞赛抢答器。当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存的功能。抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其它选手抢答。抢答选手的指示灯一直保持到主持人将系统清零为止。 (4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。 三、设计的具体实现 1、系统概述

电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。当有选手抢答时首先锁存,防止其它选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图: (1)以锁存其为中心的编码显示器 抢答信号的判断和锁存能够采用触发器或锁存器。若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。其真值表为: 锁存器输出编码器输出 Q4 Q3 Q2 Q1 D C B A 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0

数字电子技术课程设计报告四人抢答器精修订

数字电子技术课程设计报告四人抢答器 GE GROUP system office room 【GEIHUA16H-GEIHUA GEIHUA8Q8-

数字逻辑电路 课程设计报告系(部):三系 专业:通信工程 班级: 12 通信 2 班 姓名:杨超 学号: 成绩: 指导老师:李海霞 开课时间: 2013-2014 学年 2 学期

一、设计题目 数字式竞赛抢答器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (1) 在给定5V直流电源电压的条件下设计一个可以容纳四组参赛者的抢 答器,每组设定一个抢答按钮供参赛者使用。4名参赛者编号为:1、2、3、4,按钮的编号与选手的编号对应,也分别为1、2、3、4。 (2) 设置一个系统清零和抢答控制开关K=space(该开关由主持人控 制),当开关K被按下时,抢答开始(允许抢答),打开后抢答电路清零。 (3) 抢答器具有一个抢答信号的鉴别、锁存及显示功能。即参赛者的开关 中任意一个开关被按下,锁存相应的编号,并在抢答显示器上显示该编号,同时扬声器发声。此时再按其他任何一个抢答器开关均无效,优先抢答选手的编号一直保持不变,直到主持人将系统清除为止。 (4) 抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定 时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬

声器响。参赛者在设定时间(9秒)内抢答有效,抢答成功,扬声器响,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5) 如果抢答定时间截止,却没有选手抢答时,本次抢答无效。系统扬声 器报警,并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。 第二天:消化课题,掌握设计要求,明确设计系统全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩40%;

4人抢答器电路设计方案

电工学实验A(下)实验报告 4人竞赛抢答器的设计 学院名称:材料科学与工程 班级:10级4班 姓名:XXX 学号:1810004XX 成绩: 2013 年 1 月 12 日

目录 第一篇实验设计任务介绍 (3) 1.1设计题目 (3) 1.2实验目的 (3) 1.3实验内容 (3) 1.4实验要求 (4) 第二篇设计背景 (5) 第三篇设计原理 (5) 3.1抢答器的主要功能简介 (5) 3.2抢答器工作原理 (7) 3.2.1 74LS175原理介绍 (7) 3.2.2 74LS00原理介绍 (9) 3.2.3.555原理介绍 (11) 第四篇设计电路图介绍 (14) 4.1电路设计图 (14) 4.2.元件清单 (15) 第五篇心得体会 (15)

第一篇实验设计任务介绍 1.1设计题目 数控增益放大器的设计 1.2实验目的 1、掌握面包板搭接电路技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 1.3实验内容 1、设计任务: 设计制作一个可容纳四组参赛的数字式抢答器。 2、设计要求: (1)每组设置一个抢答按钮,供抢答者使用; (2)电路具有第一抢答信号的鉴别和锁存功能; (3)在主持人将系统复位并发出抢答指令后,若有参赛者按抢答开关,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别; (4)同时电路应具备自锁功能,使别组的抢答开关不起作用; (5)要求电路主要选用中规模TTL或CMO S集成电路。 3、设计要点: (1)抢答控制器:竞赛抢答器的核心,当任意一位参赛者按下开关时,抢答控制器立刻接受该信号,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别。 与此同时,封锁其他参赛者的输入信号,这就要求抢答器的分辨能力高(CP

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

课程设计报告---四人抢答器

课程设计报告设计题目四人抢答器 摘要

在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器。通过抢答器的数显,灯光和音响等手段指示出第一抢答者。同时还可以设置定时、记分犯规及奖惩等多种功能。 本设计采用手动抢答的方式,有人抢答后,系统自动封锁其他人的抢答按钮,使其不能再抢答,从而实现抢答功能。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过模拟仿真,下载到开发板等工作后数字抢答器成型。 关键字 抢答电路定时电路报警电路时序控制

目录 摘要 (1) 第1章概述 (3) 第2章课程设计任务及要求 (4) 2.1 设计任务 (4) 2.2 设计要求 (4) 第3章系统设计 (5) 3.1设计方案 (5) 3.2 系统设计 (5) 3.2.1 结构框图及说明 (5) 3.2.2 系统原理图及工作原理 (6) 3.3单元电路设计 (7) 第4章软件仿真 (12) 4.1 仿真电路图 (12) 4.2 仿真过程 (12) 4.3 仿真结果 (14) 第5章安装调试 (14) 5.1 安装调试过程 (14) 5.2 故障分析 (15) 第6章心得体会 (16) 附录使用元件清单 (18) 参考文献 (18)

第1章概述 随着社会的不断发展,人们的生活水平也在不断的提高人们不断要满足物质上的要求,同时对精神上的追求也在不断的提高,现在的社会是一个娱乐的社会。现在各电视台的活动和课外活动都很多。人们在参加活动的时候都对审判有很多的意见,所以为了比赛的准确和公正,就需要有仪器的辅佐。 智力竞赛抢答器就是一种活泼的有趣的供人们娱乐的游戏装置,通过抢答方式不仅能引起参赛者和观众的兴趣,而且能够提高参赛者的敏捷性,同时我们在参与中能够增加一些生活常识和科学知识,因此,在许多比赛活动中为了准确,公正的进行每一场比赛,特别设置了一台具有显示第一抢答者并锁定、犯规警告等多种功能的抢答器,该设计针对各种要求设计出可供八名选手参赛使用的数字式竞赛抢答器,适用于各大中小电视台,学校等单位举行的智力竞赛。数字电路组成的数字系统工作可靠,精度较高,抗干扰能力很强,所以智力竞赛抢答器的设计就有数字电路来控制。 第2章课程设计任务及要求 2.1 设计任务 设计一个四位智力竞赛抢答器。准确地理解有关要求,独立完成系统设计,要求所设计的电路具有以下功能: (1)设计4组参赛的抢答器,每组设置一个抢答按钮。 (2)给节目主持人设置一个控制开关S,这个开关由主持人控制,进行清零和抢答使能。 (3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示。 (4)抢答器具有抢答计时功能,且一次抢答的时间由主持人设定(如30秒)。 2.2 设计要求

VHDL四人抢答器实验报告

题目:硬件描述语言实验十三:四人抢答器 姓名:*** 学号: *** 地点:主楼402 时间:5月9日 一、实验目的: 进一步练习VHDL语言设计工程的建立与仿真的步骤和方法、熟悉VHDL语言基本设计实体的编写方法。 二、实验环境: PC个人计算机、Windows XP操作系统、Quartus II集成开发环境软件。 三、设计要求: 设计一个四人抢答的抢答器的逻辑模块,模块功能如下描述: (1)上一轮抢答结束后,主持人按下清零按钮,系统初始化,此时除了禁止抢答灯外,所有灯灭,而禁止抢答灯亮。 (2)主持人按下允许抢答按钮,允许抢答灯亮,模块开始计时;当达到设定的允许抢答的时间时,允许抢答灯灭,禁止抢答灯亮。 (3)参赛选手在允许抢答的时间内按下自己的抢答按钮,谁第一个按下,他的抢答成功灯亮,其他选手在抢答无效。 (4)选手在禁止抢答的时间段按下抢答的按钮,他的犯规灯亮,多个选手犯规,他们的犯规灯都亮。 由上述功能可确定模块的引脚:每个按钮对应一个输入信号,按钮按下输入为高电平;每个灯对应一个输出信号,输出高电平灯亮。为了计时,还有一个时钟信号输入,允许抢答的时间为16个时钟周期。四、设计思想: 抢答器主要由两个模块来实现功能:

(一)抢答鉴别及锁存模块 根据设计要求,首先要有清零按钮clr,主持人控制按钮en,四人抢答按钮a、b、c、d,并由dsp显示抢答成功的选手号码,用wrg_lgt 表示犯规灯,用fbd_lgt表示禁止抢答灯,用alw_lgt表示允许抢答灯。为了实现当有选手抢答后锁存电路使其他选手无法抢答的功能,设置两个锁存信号temp1、temp2。按下清零开关(clr=1),tmp1、tmp2=0,若主持人未按下允许抢答按钮(en=0),即禁止抢答灯亮(alw_lgt=1)时,有人抢答,则tmp2=1,关闭抢答电路,犯规选手的犯规灯亮;若主持人按下允许抢答开关(en=1)后,即允许抢答灯亮(alw_lgt=1)时,有人抢答,则tmp1=1,关闭抢答电路,抢答成功选手的抢答成功灯亮。 (二)倒计时模块 用4位二进制矢量count表示抢答倒计时,从“1111”到“0000”表示16个时钟周期,由给定的时钟信号clk触发。主持人按下允许抢答按钮(en=1)后,开始倒计时。 为实现有人抢答则暂停计时及16个时钟周期后停止计时的功能,设置暂停锁存信号tmp3,按下清零开关(clr=1)后,tmp3=0,主持人按下允许抢答按钮(en=1)后,开始倒计时,若有人抢答,则tmp3=1,暂停倒计时;若一直无人抢答,当16个时钟周期结束(count=“0000”)时,则tmp3=1,停止倒计时。 五、电路图 仿真电路图如下:

用Multisim实现的四路抢答器实验报告

四路抢答器实训报告 宋晓瑜 电子信息工程技术

目录 概述 (3) 基本功能 (3) 基本构成部分 (3) 多谐振荡 (3) 倒计时 (3) 优先译码 (4) 控制端 (4) 多谐振荡电路555芯片分析 (6) 倒数计数电路74LS192分析 (7) 基本控制电路分析 (8) 选手抢答 (8) 主持人控制端 (8) 优先译码芯片74LS148在电路中的作用及分析 (9) 参考文献 (10)

概述 元器件:74LS08、74LS02、74LS04、74LS192、74LS555、74LS148、74LS74 基本功能 本实训作业最终实现了四路抢答器的效果,设定好需要倒计时的时间后由主持人宣布开始抢答,选手即开始抢答,特定的数码管将显示最先抢到信号的选手号码,在时间到达仍然无人抢答时,计时器到零停止。 基本构成部分 多谐振荡电路、倒数计时电路、控制电路、优先译码电路。 多谐振荡 通过555芯片进行基本调试模拟连接,构成一个频率为100HZ 的毫秒脉冲电路。 倒计时 倒计时电路在工作过程中,实现倒数计时,利用两个74LS192芯片,以毫秒脉冲信号产生的借位信号为第二个192芯片的脉冲信号,从而达到秒计时的效果。设计时在各输入信号端A,B,C,D接入不

同的信号,倒计时的时间值不同。在选手有抢答信号产生时倒计时停止,抢答时间到零而到无人抢答时自动停止计时。 优先译码 在电路运行过程中各个选手的输入信号通过D出发器进行保存,并且送至优先译码芯片中,由于优先译码器的特点是当有输入信号时两个输出端口会输出信号(GS\EO端),具体功能表如下: 此功能产生的信号可用来给计时电路发送暂停命令。 控制端 控制端有两部分组成:选手控制端、主持人控制端 主持人持控制置数端 O点表示开始计时,R点表示复位(将选手之前的选择进行清除记忆)R点为点触式开关。主持人在控制电路时应注意必须

抢答器实验报告要点

扬州大学能源与动力工程学院本科生课程设计 题目:四人智力竞赛抢答器 课程:数字电子技术基础 专业:电气工程及其自动化 班级:电气0901 学号:091302111 姓名:冯承超 指导教师:年漪蓓蒋步军 完成日期: 2011年6月24日

总目录第一部分:任务书 第二部分:课程设计报告 第三部分:设计图纸

第一部分 任 务 书

《数字电子技术基础》课程设计任务书 一、课程设计的目的 1、使学生进一步掌握数字电子技术的理论知识,培养学生工程设计能力和综合分析问题、解决问题的能力; 2、使学生基本掌握常用电子电路的一般设计方法,提高电子电路的设计实验能力; 3、熟悉并学会选用电子元器件,为以后从事生产和科研工作打下一定的基础。 二、课程设计的要求 1、设计时要综合考虑实用、经济并满足性能指标要求; 2、必须独立完成设计课题; 3、合理选用元器件; 4、按时完成设计任务并提交设计报告。 三、课程设计进度安排 1、方案设计;(半天) 2、电路设计:(一天) 3、装配图设计:(半天) 4、电路制作:(两天) 5、总结鉴定:(一天) 四、设计要求 1用中小型规模集成电路设计出所要求的电路; 2、在实验箱上安装、调试出所设计的电路。 3、部分课题要求用可编程逻辑器件(FPGA/CPLD)设计实现; 4、在EDA编程实验系统上完成硬件系统的功能仿真。 5、写出设计、调试、总结报告。 五、使用仪器设备 1、稳压电源(±5V,±15V); 2、实验电路箱; 3、低频信号发生器; 4、示波器。 六、设计总结报告主要内容 1、任务及要求; 2、方案特点; 3、各组成部分及工作原理(应结合框图写); 4、单元电路设计与调试; 5、总逻辑图; 6、总装配图。

四人智力抢答器设计

四人智能抢答器设计报告

目录 摘要---------------------------------------------------------------------------3前言---------------------------------------------------------------------------4第一章设计要求----------------------------------------------------------5 1.1设计任务-------------------------------------------------------------5 1.2基本要求-------------------------------------------------------------5 1.3选用器材-------------------------------------------------------------5 第二章系统工作原理------------------------------------------6 2.1系统的工作原理-----------------------------------------------------6 第三章电路设计--------------------------------------------------------------7 3.1方案的选择-----------------------------------------------------------7 3.1.1方案一----------------------------------------------------------7 3.1.2方案二----------------------------------------------------------7 3.1.3确定方案-------------------------------------------------------7 3.2单元电路设计--------------------------------------------------------8 3.2.1以锁存器为中心的编码显示电路----------------------------------------------8 3.2.2倒计时显示电路的设计---------------------------------------------8 3.2.3报警电路的设计---------------------------------------------9 3.2.4脉冲产生电路的设计----------------------------------------------10 3.3整体电路--------------------------------------------------------10 3.4 555多谐振荡器的脉冲波形图--------------------------------------------------------11 3.5电路元件选择--------------------------------------------------------12 第四章电路实验与调试---------------------------------------------------13 4.1焊接--------------------------------------------------------------------13 4.2调试中出现的问题及解决-----------------------------------------13 4.3P C B板图--------------------------------------------------------14 4.4焊接的实物图--------------------------------------------------------14 第五章设计总结-----------------------------------------------------------15 参考文献-----------------------------------------------------------15

基于PLC的四路抢答器设计报告

基于PLC的四路抢答器设计报告

河南农业大学 《PLC生产实训》 报告 实训题目:基于PLC的智力竞赛抢答器 学院:理学院 班级:电子信息科学与技术一班 组员:相贺鹏、朱泽雷、张少华 指导教师:腾红丽 成绩: 时间:2014 年12 月30 日至2015 年1 月4 日

一、实训目的 《PLC 生产实训》是一门实践课程。本实训是配合《可编程控制器原理及应用》课程教学大纲、要求和课程实施计划展开。通过本课程的实训,使学生在学习了西门子S7-200系列PLC 理论知识的基础上,通过上机编程和程序调试实训,进一步提高S7-200系列PLC 的应用能力;了初步掌握PLC 控制系统的分析、设计能力;掌握PLC 的基本原理和选型应用方法,能够根据设备的工艺过程和控制要求正确选用可编程控制器及配套的常用功能模块。通过实习,要求学生能熟练掌握PLC 的理论知识和实验中所掌握的实践知识,能正确地进行系统设计,并通过实验使学生获得软件设计的初步能力。在实习的全过程中,培养学生勤奋学习、求真、求实的科学品德,培养学生的动手能力、观察能力、查阅文献能力、思维能力、想象能力、表达能力,为今后从事相关的专业技术工作打下基础。 二、实训要求 PLC 实训是对综合应用能力的培养,因此在实训过程中要求能够主动思考,积极参与。 每个同学必须做到的是:根据工艺要求,分析控制要求,设计控制程序,然后再进行 编程、调试,以满足加工所要求的基本工艺过程。 个别有能力的同学可以在原有控制要求的基础上进一步完善相应的控制环节,或者结 合实际(例如根据控制的某些特点),提出合理化建议并编程实现。 三、实训内容 基于PLC 的智力竞赛抢答器,其系统控制流程图如图1所示: 电路

相关文档
最新文档