计算机与二进制教案

计算机与二进制教案
计算机与二进制教案

计算机与二进制教学方案学校:海南师范大学执教人姓名:孙鹏程课题计算机与二进制

基本说明教学模块初中信息技术基础

教学年纪七年级

教学教材湖南科学技术出版社

教学章节第一章第一节

教学学时45分钟(多媒体教室)

教学目标知识与技能

学生能了解二进制

的概念;学生能基本认

识二进制的历史与创始

人;学生能区分二进制

与其他进制(十进制)

的区别;学习结束后学

生能独立完成二进制与

十进制的转换。

过程与方法

学生通过二进制与

十进制的对比教学,培

养出自己用类比法研究

数学问题的能力。

情感、态度与价值观

学生通过二进制的

学习培养自己的计算机

科学涵养;学生通过体

验二进制与十进制的数

值转换,培养自己的理性思维价值观。

学情分析

学习对象是刚升入初中的学生,抽象思维正处于模糊时期,对于过于抽象的实物不容易理解,因此授课时应注意直观教学法与对比教学法的结合使用,最好是使用flash动画等一些直观图像借助教学。其次,七年级学生第一次接触信息技术课,可能会有些生硬难懂,在二进制与十进制环节应当尽量避免过多的数学涉及,以免将信息技术课“讲成”数学课。最后,在课堂讨论与回答问题环节,应当考虑学生的年龄及心理情况,给予最大程度的鼓励和肯定,而不是一味的纠正和强制否定。

教学重点

二进制的概念以及二进制与其他进制的区别(重点引导学生接受二进制这样一个“新的”进制);二进制与十进制的转换(涉及简单的数学计算)。

教学难点

二进制与十进制的转换(学生第一次接触二进制,要与经常接触的十进制转换容易受定势思维影响,比如将十进制的11误转换为二进制的11等,这对于学生来说是一个难点)。

设计思路

作为信息技术的第一课,培养学生兴趣最为重要,因此在引入课题时一定要生动能够吸引学生兴趣。我这里选用(三个备选引入,后面展示)

引入教学课题,能让学生带着疑问与憧憬进入课

堂的学习当中,这对于后续教学都起到了促进作

用。作为信息技术课,传授信息技术相关知识是

基础,但是同时不可忽视对学生基本素质能力的

培养,因此在上课时,我将课程分为了三个部分,

分别阐述“是什么”“为什么”“怎么做”,这

样一来在帮助学生学习二进制的同时,又培养了

学生独立思考的能力。同时本节课的重难点是二

进制与十进制的转换,由于时间缘故,前面的内

容不会提及太多,重点教学进制的转换。在进制

之间的区别中,使用对比法与直观法结合的方式

让学生加深对于二进制的理解。在二进制与十进

制的转换中使用flash导学,用生动的图像动画

吸引学生注意力的同时,传授学生予转化的算法。

最后考虑到学时间存在差异性,对于学有余力的

同学,我会布置一些深入的思考题,比如二进制

转换为八进制这样的题目以供学生了解更多的二

进制相关知识,同时在下节课上我会给予学生解

答,这样既照顾了学习水平低的学生又帮助学有

余力的学生掌握更多的知识。

教学

CAI课件、flash动画、等。

工具

教学过程设计

思路

教学过程故事引入:

(没决定用哪个引入呢,暂且列出三种)

故事一、

数字王国正在举行“大力士”比赛,数字

“9”在比赛中所向披靡,力压群雄,其他数字力

气都不如他,于是数字们都很不服气,尤其是数

字5以下的,都认为不公平,去找裁判理论。裁

判说数字1可以找其他人搭档,但是其他人都不

愿意和数字0搭档,以为数字加了数字0,力气都

不变,乘了数字0,反而力气变得更小了。就在数

字0沮丧的时候,他的好朋友数字1来找他搭档

了。第一次数字0站在数字1前面,他俩去跟“9”

比赛,结果还是输了,然后第二次“1”站在“0”

后面,组成了数字10,一下子赢了“9”,拿到了

冠军,最后国王给“1”和“0”都发了金牌。为

什么数字“0”和“1”的组合可以赢下冠军呢,

他们的组合“10”又代表什么意思呢,下面我们

来进入今天的学习“计算机与二进制”。

故事二、

在上课之前,老师要先问大家一个问题,前

几天老师在打开一个文件的时候,突然发现文件

里面写的内容全是010*******这样的数字,谁能

告诉老师这是怎么一回事呢,然后等同学们讨论

了以后,进入二进制的学习。

作为信息技

术的第一课,

培养学生对于

计算机的兴趣

最为重要,过

于重视教学内

容反而可能会

使学生丧失学

习兴趣,因此

这样一个有趣

的导入极为重

故事三、

相信大家在学习信息技术之前都已经听说过一些关于我们信息技术这门课的一些东西了,那么有人知道“程序员最美的情书”么?社会上大家都喜欢说学计算机的人古板,不够浪漫,整天跟计算机打交道,写不出什么美的东西,有这么一个程序员,给自己的女朋友写了一封情书,情书上这么写的“101 010 000 001 011 001 100”,他女朋友看了情书以后思索了一会,称这是她见过最“美”的情书,最后他们结婚了,幸福的生活在了一起。那么大家知道情书里写了什么内容么?然后在大家讨论了一会以后告诉同学们答案,情书上实际写的是5201314,然后同学们问怎么得来的,然后带着疑问进入今天的课程学习。授课流程:

第一步,首先进入第一小节“什么是二进制”,通过PPT借助大量的图片展示给学生二进制的概念。

第二步,进入第二小节“二进制的历史以及为什么使用二进制“,并在课堂设置讨论环节,要求学生讨论如果计算机换成十进制或者六十进制,会产生什么样子的问题。

第三步,课堂讨论后,请出学生讲述自己的观点并给予纠正和鼓励。

第四步,进入第三节(教学重点)“二进制与十进制的转化”,先做简单的介绍。

第五步,播放关于二进制的flash动画,帮助学生理解转化关系。

第六步,对flash动画细节详细讲解并板书,对于教学难点反复强调并解释。

第七步,PPT展示课堂习题并设置思考讨论时间。

第八步,抽点学生回答问题,并帮助解答纠正。

第九步,布置课下作业,以及深入思考问题,并提示学习思路。

第十步,课堂小结。

巩固拓展

1.完成课本上作业题以及PPT上基本的二进制

转化十进制题目。

2.学有余力的同学完成PPT上二进制转换八进

制的题目,并总结自己的转化方法,与同学讨论,有没有更方便快捷的转化方法。

教学反思1.重难点教学时,可能因为过度的强调,导致偏离了原本的计划,

将计算机课“演变成”数学课。

2.课程中,除了引入比较吸引学生以外,课堂互动不是很多,学

生自由活动时间比较少,不利于学生交流理解。

3.课后作业布置的可能不太合适,二进制转化为八进制的题目可

能由于学生的抽象理解与举一反三的能力不强而导致学生无法独立完成,达不到应有的教学目标。

实验四、 计数器的设计 电子版实验报告

实验四:计数器的设计 实验室:信息楼247 实验台号: 4 日期: 专业班级:机械1205 姓名:陈朝浪学号: 20122947 一、实验目的 1. 通过实验了解二进制加法计数器的工作原理。 2. 掌握任意进制计数器的设计方法。 二、实验内容 (一)用D触发器设计4位异步二进制加法计数器 由D触发器组成计数器。触发器具有0和1两种状态,因此用一个触发器 就可以表示1位二进制数。如果把n个触发器串起来,就可以表示N位二进制 数。(用两个74LS74设计实现) (二)利用74LS161设计实现任意进制的计数器 设计要求:学生以实验台号的个位数作为所设计的任意进制计数器。 先熟悉用1位74LS161设计十进制计数器的方法。 ①利用置位端实现十进制计数器。 ②利用复位端实现十进制计数器。 提示:设计任意计数器可利用芯片74LS161和与非门设计,74LS00为2输 入与非门,74LS30为8输入与非门。 74LS161为4位二进制加法计数器,其引脚图及功能表如下。

三、实验原理图 1.由4个D触发器改成的4位异步二进制加法计数器 2.由74LS161构成的十进制计数器

四、实验结果及数据处理 1.4位异步二进制加法计数器实验数据记录表 2. 画出你所设计的任意进制计数器的线路图,并说明设计思路。

设计思路:四进制为四个输出Q3Q2Q1Q0=0000,0001,0010,0011循环,第一个无效状态为0100 1,置位法设计四进制计数器:当检测到输入为0011时,先输出显示3,然后再将D 置于低电位,计数器输出Q3Q2Q1Q0复位。 2,复位法设计四进制计数器:当检测到第一个无效状态0100时,通过与非门的反馈计数器的Cr首先置于低电平使计数器复位为0000。 五、思考题 1. 由D触发器和JK触发器组成的计数器的区别? 答:D触发器是cp上升沿触发,JK触发器是下降沿触发。 2. 74LS161是同步还是异步,加法还是减法计数器? 答:同步。加法计数器。 3. 设计十进制计数器时将如何去掉后6个计数状态的? 答:加一个与非门形成负反馈。当计数到第一个无效状态Q3Q2Q1Q0==1010时,Q3和Q1全为1,Q1,Q3接与非门,输出作为复位信号,使所有触发器复位,从而去掉了后6个状态。

二进制与十进制的转换(教案)

二进制与十进制的转换教案 【教学目的与要求】 1、熟悉数制的概念; 2、掌握位权表示法; 3、熟练掌握二进制与十进制之间的转换方法。 【课时安排】1课时。 【教学重点与难点】 1、难点:位权表示法十进制转化为二进制 2、重点:二、十进制间相互转换 【教学过程】(以下教师的语言、活动简称“师”,学生的活动简称“生”) (一)新课导入 生:加减乘除 师:对,我们最开始学习的就是十以内的加法,之后是两位数的加法,在两位数加法的学习中,老师是不是经常会说,要注意逢十进一?也就是我们平常说的别忘了进位。 (PPT展示)像这样按进位的原则进行记数的方法叫做进位记数制。“进位记数制”简称为“数制”或“进制”。我们平时用的最多的就是十进制了 那么,大家再想一下,还有没有其他的进制呢?比如:小时、分钟、秒之间是怎么换算的?生:1小时=60分钟1分钟=60秒 师:那我们平时会不会说我做这件事用了90分钟呢?不是吧,我们一般会说,用了一个半小时,也就是说:逢60进一,这就是60进制。 (PPT展示)由此可以推断出:每一种数制的进位都遵循一个规则,那就是——逢N进1。这里的N叫做基数。所谓“基数”就是数制中表示数值所需要的数字字符的总数,比如,十进制中用0——9来表示数值,一共有10个不同的字符,那么,10就是十进制的基数,表示逢十进一。 师:下面我们再引入一个新概念——“位权”,什么是位权呢?(PPT展示)大家看一一这个十进制数:1111.111,这7个1是不是完全一样的呢?有什么不同呢?第一个1表示1000,第二个1表示100,……

那么,这个“若干次”是多少呢?有没有什么规定呢?大家观察一下这个例子,以小数点为界,整数部分自右向左,依次是基数的0次、1次、2次、3次幂。小数部分,自左向右,分别是基数的-1次、-2次、-3次幂。 大家再看一下:2856.42这个十进制数,它的值是怎么算出来的呢? 这就叫做按权相加法。也就是让每一位上的数字字符乘以它所代表的权。那么,这种方法有什么用呢?这就是本节课的重点内容。 (二)数制转换 大家都知道,计算机运算时采用的是二进制,但人们在使用计算机解决实际问题时通常使用十进制,这就有一个十进制向二进制转换或由二进制向十进制转换的过程。 也就是说,在使用计算机进行数据处理时首先必须把输入的十进制数转换成计算机所能接受的二进制数;计算机在运行结束后,再把二进制数转换为人们所习惯的十进制数输出。这种将数由一种数制转换成另一种数制称为数制间的转换。 二进制的特点:只有二个不同的数字符号:0和1;逢二进1 1)二进制转十进制

七年级信息技术上册计算机与二进制教案人教版

计算机与二进制 一、基本说明 1模块:初中信息技术基础 2年级:七年级 3所用教材版本:湖南科学技术出版社 4所属的章节:第一单元第一节 5学时数: 45分钟(多媒体教室授课) 二、教学设计 1、教学目标: 知识与技能目标:理解数制的基本概念;了解二进制的基本特征;知道计算机采用二进制的原因;了解计算机与二进制的关系。 操作技能目标:在探索“计算机为什么要采用二进制”问题的过程中,学习比较研究的方法。 情感目标:通过丰富的活动体验二进制对计算机工作的优势,体验二进制所蕴涵的技术思想、技术哲学。培养学生独立思考和探究性学习的能力,协作学习的能力。 2、内容分析:“二进制”数的概念解析是计算机基础教学中的一个重点、难点。但很多老师在教学时容易将这节课上成“二进制与十进制转换”的数学课,学生无法理解的同时,更加畏惧这个内容。因此,这节课应从文化角度教出二进制的丰富多彩,二进制对思维方式培养的作用,二进制的意境。 3、学情分析:学生刚刚从小学升入初一,多数学生对于二进制还很陌生,对于计算机内部工作机制没有很清楚的认识。在认知能力方面,初一的学生对于事物本质规律的探究能力还处于逐步增长之中,如果要让他们对“二进制对于计算机的意义”有所体验,也绝非是教师的简要陈述就能实现的。 4、设计思路:计算机为什么要采用二进制?”是本节课的核心问题,然而鉴于这个问题背后所涉及的二进制对于计算机内部工作的特殊意义在学生来说并不“简单”,所以这自然也成为了本课教学的难点。通过以上分析,在本课教学中,围绕“计算机为什么要采用二进制?”这个问题的产生、认识的过程设计是本课教学设计中的关键,精心设计富有启发性的认识活动,期望学生在亲身实践的活动过程中去体验、认识二进制与计算机的特殊关系,并进一步体悟二进制所蕴涵的技术思想、哲学思想。在本课教学中还突出以“比较”作为探究活动的主线,一方面是因为这种方法很适合对本课核心问题的研究,另一方面也期望学生在探究活动中对这种基本的研究思想有所领略。 三、教学过程 教学环节教师活动学生活动 对学生学习过程 的观察和考查及 设计意图 创设情境问题引入教师:今天老师来给大家表演一下算命, 告诉我,下列哪组数字中有你的生日,哪 组数字中没有,我就能说出你的生日,你 信吗? 投影:第一组:1,3,5,7,9,11,12, 15,17、19,21,23,25,27,29,31; 第二组:2,3,6,7,10,11,14,15, 18,19,22,23,26,27,30,31; 1、积极参与游戏 活动 2、思考讨论“为 什么老师可以做 到?我也行吗? 原理在哪?” 这个导入比较容 易激发学生兴 趣,能让学生很 快进入信息技术 的课堂氛围。 通过游戏,激发 起学生探讨游戏 原理的兴趣,引

二进制与计算机教学设计说明

教学设计:《二进制与计算机》 一、教材分析 本内容选自广州市教育局教学研究室2013年新编的《信息技术》初中第一册第一章《信息与信息技术》中第3节《计算机的基本工作原理》中的第二小节。二进制是计算机工作的基本形式,也是计算机理论知识中的最基本的原理,对于信息技术的学习及了解计算机的工作原理具有不可忽视的奠基作用。原教材以一小节的篇幅介绍二进制,只解答了计算机为什么要采用二进制,语焉不详,内容也相对抽象不易理解,难以引起学生的兴趣和重视。有鉴于此,笔者单独以一课时的时间介绍这一相关知识。 二、教学对象分析 本课教学对象为初一的学生。初一的学生活泼好动,但其逻辑思维能力和抽象思维能力相对较弱,对于二进制的工作原理不一定能够直观地理解,所以,笔者在教学设计中,以活动为主线,环环相扣,让学生在游戏中不断体悟二进制的妙用。 三、教学目标 (一)知识与技能:学会二进制数与十进制数之间的转化,认识计算机表示字符的原理,认识计算机描述图片的原理。 (二)过程与方法:通过模拟活动体会到计算机对字符的表示方法,通过设计图形编码了解计算机对图像的表示方法。 (三)情感态度价值观:学会相互之间的合作和沟通,了解二进制原理在计算机中和生活中的应用,激发其创新思考的乐趣。 四、重点难点分析 教学重点:二进制与十进制的转换 教学难点:二进制对字符的表示 五、教学手段 讲授法、游戏法 教学环节 教学活动 设计意图教师活动学生活动 导入展示4张牌,第一张牌上有1个点,第二张 牌上有2个点,第三张牌上有4个点,第4 张牌上有8个点,让学生观察规律,说出第 5张牌有多少个点?其规律是什么? (第i张牌的点数是2i-1 ) 观察牌,总结 规律 题目简单有 趣,能够在短 时间内吸引学 生的注意力。 而且每张牌的 点数隐含着二 进制位数的 权,为正式介 绍二进制做好 铺垫。

四位二进制加法计数器课程设计

成绩评定表 学生姓名郝晓鹏班级学号1103060129 专业通信工程课程设计题目四位二进制加法 计数器 评语 组长签字: 成绩 日期20 年月日

课程设计任务书 学院信息科学与工程学院专业通信工程 学生姓名郝晓鹏班级学号1103060129 课程设计题目四位二进制加法计数(缺0010 0011 1101 1110) 实践教学要求与任务: 1、了解数字系统设计方法。 2、熟悉VHDL语言及其仿真环境、下载方法。 3、熟悉Multisim仿真环境。 4、设计实现四位二进制加计数(缺0010 0011 1101 1110) 工作计划与进度安排: 第一周:熟悉Multisim及QuartusII环境,练习数字系统设计方法。包括采用触发器设计和超高速硬件描述语言设计,体会自上而下、自下而上设计 方法的优缺点 第二周:1.在QuartusII环境中仿真实现四位二进制加计数(缺0100 0101 1001 1010 )。 2.在Multisim环境中仿真实现四位二进制加计数,缺(0100 0101 1001 1010),并通过虚拟仪器验证其正确性。 指导教师: 201 年月日专业负责人: 201 年月日 学院教学副院长: 201 年月日

摘要 本文采用在MAXPLUSⅡ环境中用VHDL语言实现四位二进制加法计数(缺0010 0011 1101 1110),在仿真器上显示结果波形,并下载到目标芯片上,在实验箱上观察输出结果。在Multisim环境中仿真实现四位二进制加法计数器(缺0010 0011 1101 1110),并通过虚拟仪器验证其正确性。 关键词:MAXPLUSⅡ环境;VHDL语言;四位二进制加计数;Multisim环境

高中二进制教案

二进制的教学设计 [教学目标] 1、认知目标 (1)掌握进位制概念; (2)理解进制的本质; (3)掌握十进制和二进制的相互转换; (4)了解计算机所采用的数制及计算机采用二进制数的原因。 2、技能目标 掌握二进制数和十进制数转换以及运算规则。 3、能力目标 对学生思维能力进行拓展,激发他们探索计算机奥秘的欲望。 [教学重点] (1)进制的本质组成 (2)十进制与二进制间的相互转换 [难点] (1)进制的本质组成 (2)十进制与二进制间的相互转换 [教学方法] 讲授法举例法 [授课地点] 普通教室 [教学过程] 一、引入新课 对计算机稍微了解的同学就知道计算机中使用的进位制是二进制,那什么是二进制,它跟我们数学上使用的十进制有什么联系。这节课准备给大家补充点二进制的知识,这跟数学关系很密切,请同学务必认真听课。 二、切入课堂内容 1、什么是进位制 提出问题:什么是进位制?最常见的进位制是什么? 学生普遍回答是十进制。 教师继续提问:那十进制为什么叫十进制?引起学生的思考。(部分经过思考的学生回答是约定的) 教师提醒学生一起回忆幼儿园开始学习算术的情景。 当是我们是从最简单的个位数相加学起,比如2+3=?,当时我们会数手指,2个手指+3个手指等于5个

手指,答案为5。 那4+6呢?4个手指+6个手指等于10个手指,10个手指刚好够用。 那6+9呢?当时我们就困惑了。记得当时老师是告诉我们把6拆成1+5,9+1=10,这时老师跟我们约定用一个脚趾表示10,另外用5个手指表示5。这样通过脚趾,我们就成功解决了两个数相加超过10的问题。教师提问:那当时我们为什么要约定10呢,为什么用9或11?引起学生思考。(部分经过思考的学生回答为了方便运算) 教师提问:除此之外还有哪些常见的进位制?请举例说明。拓展学生的思维。 有学生回答60进制(时分秒的换算),360进制(1周=360度),二进制等等。 教师和学生一起归纳进位制的概念,学生和老师形成共识: 进位制是人们为了计数和运算方便而约定的记数系统。 2、什么是十进制? 教师提出问题:大家学习了十几年十进制,我们了解十进制吗?所谓的十进制,它是如何构成的? 引起学生思考。 十进制由三个部分构成: (1)由0、1、2、3、4、5、6、7、8、9十个数码组成; (2)进位方法,逢十进一;(基数为10) (3)采用位权表示法,即一个数码在不同位置上所代表的值不同。 引入基数和位权的概念 一种进制就规定了一组固定的数字,数字的个数就是这种类制的基数,如十进制规定了,0,1,2…9共10个数字,则十进制的基数就为10。 位权是一个比较新的概念,通过简单的例子介绍什么是位权。 比如:数码3,在个位上表示为3,在十位表示为30,在百位表示为300,在千位表示为3000。 3333=3000+300+30+3=3*103+3*102+3*101+3*100 这里个(100)、十(101)、百(102),称为位权,位权的大小是以基数为底,数码所在位置序号为指数的整数次幂。 教师提出问题:其它进位制的数又是如何的呢?引入二进制。 3、什么是二进制? 从生活最常用的十进制入手,讲解基数和位权的概念,学生理解后,引入二进制数的概念,在对二进制数进行介绍时,会把学生带入到一个全新的数字领域。 (1)二进制的表示方法(同样由三部分组成)

数电课设___四位二进制加法计数器的设计

成绩评定表

课程设计任务书

摘要 Quartus II 是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。 Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。 本次数电课程设计使用Quartus II仿真环境以及VHDL下载和Multisim仿真环境来编译实现四位二进制同步加法计数器。在Multisim中选用一个集成计数器74LS163来实现四位二进制加法计数器。运用卡诺图求解时序方程。逻辑电路图中,四个小红灯即为显示器,从右到左显示时序图中的十四种状态,其中,灯亮表示“1”,灭表示“0”,从而达到计数目的。 关键字:Quartus II Multisim 集成计数器74LS163 时序图卡诺图 目录

一、课程设计目的 (1) 二、设计框图 (1) 三、实现过程 (2) 1、QUARTUS II实现过程 (2) 1.1建立工程 (2) 1.2调试程序 (3) 1.3波形仿真 (6) 1.4引脚锁定与下载 (8) 1.5仿真结果分析 (10) 2、MULTISIM实现过程 (10) 2.1求驱动方程 (10) 2.2画逻辑电路图 (13) 2.3逻辑分析仪的仿真 (14) 2.4结果分析 (14) 四、总结 (15) 五、参考书目 (16)

二进制与计算机教学设计

二进制与计算机教学设 计 集团标准化小组:[VVOPPT-JOPP28-JPPTL98-LOPPNN]

教学设计:《二进制与计算机》 教材分析 本内容选自广州市教育局教学研究室2013年新编的《信息技术》初中第一册第一章《信息与信息技术》中第3节《计算机的基本工作原理》中的第二小节。二进制是计算机工作的基本形式,也是计算机理论知识中的最基本的原理,对于信息技术的学习及了解计算机的工作原理具有不可忽视的奠基作用。原教材以一小节的篇幅介绍二进制,只解答了计算机为什么要采用二进制,语焉不详,内容也相对抽象不易理解,难以引起学生的兴趣和重视。有鉴于此,笔者单独以一课时的时间介绍这一相关知识。 教学对象分析 本课教学对象为初一的学生。初一的学生活泼好动,但其逻辑思维能力和抽象思维能力相对较弱,对于二进制的工作原理不一定能够直观地理解,所以,笔者在教学设计中,以活动为主线,环环相扣,让学生在游戏中不断体悟二进制的妙用。 教学目标 (一)知识与技能:学会二进制数与十进制数之间的转化,认识计算机表示字符的原理,认识计算机描述图片的原理。 (二)过程与方法:通过模拟活动体会到计算机对字符的表示方法,通过设计图形编码了解计算机对图像的表示方法。 (三)情感态度价值观:学会相互之间的合作和沟通,了解二进制原理在计算机中和生活中的应用,激发其创新思考的乐趣。 重点难点分析 教学重点:二进制与十进制的转换 教学难点:二进制对字符的表示 教学手段 讲授法、游戏法

笔者在设计这节课的时候,曾经反复思考,按照计算思维的理论,应该怎样将计算机的理论知识变成普适的知识。计算机的发明和不断改进,以及层出不尽的应用,都凝聚了前辈的智慧,不少伟大的数学家、计算机科学家在为其添砖加瓦,不妨说计算机是人类智慧的伟大结晶。但我们在教授信息技术课程,或者说计算机理论知识时,更多只停留在应用层面,或者只讲解现成的构架,没有将发明过程中的艰难问题提出来,没有将计算机科学家如何柳暗花明巧妙化解难题的智慧表现出来。因此,信息技术教育才会沦为一种工具的教育,缺乏灵性和活力。 笔者在设计本教案之前,也曾按照教材结构上过一节课,试想,将计算机工作原理浓缩一节课,但学生接触到的只是几个概念,对真正的原理并无深刻认识。而且,因为概念的抽象性,学生兴趣不高,更不能积极思考。于是,笔者借鉴《不插电的计算机科学》一书,单独抽离其中的一个知识点,结合初一学生的认知水平,设计成半游戏型的教学方式。虽然讲的是二进制,但又不单是计算机的二进制。比如用蜡烛的点亮表示岁数,用手指的伸缩表示二进制,用灯光的亮灭传递信息,用其它各种信息表示二进制,如眨眼皮,如发两个音调的声音,二进制存储图像的原理在生活中的应用,不仅可以用来辅助画画、十字绣、打印传真等等,这些例子,都是希望我们所学的知识不仅仅是计算机世界的,更多是生活中的,是一种思维的体现,而不仅仅是知识。 本节课的课堂效果很好。原本以为在二进制与十进制的转换环节学生的接受会较困难,实践证明,学生的反应很快,并且能很快找到规律。笔者在实验班和平行班都进行了实验,实验班的同学接受能力较快,教学进度很从容,平行班的教学进度相对有点赶,但学生的兴趣都很高昂,表现也很活跃,甚至不少学生下课后还主动过来表述他们对二进制的理解。 不足之处,课堂节奏比较紧凑,有些活动环节未能充分开展。

《数制转换》教案

《数制转换及计算机中数的表示》教案 教学目标: 【知识目标】 1、理解进制的含义。 2、掌握二进制、十进制、八进制、十六进制数的表示方法。 3、掌握二进制、八进制、十六进制数转换为十进制的方法。 4、掌握十进制整数、小数转换为二进制数的方法。 5、掌握计算机中数的表示 【技能目标】 1、培养学生逻辑运算能力。 2、培养学生分析问题、解决问题的能力。 3、培养学生独立思考问题的能力。 4、培养学生自主使用网络软件的能力。 【情感目标】 通过练习数制转换,让学生体验成功,提高学生自信心。 教学重点: 1、各进制数的表示方法。 2、各进制数间相互转换的方法。 3、计算机中数的表示 教学难点: 十进制整数、小数转换为二进制数的方法;计算机中数的表示。 学法指导: 教师讲授、学生练习、教师总结、教师评价。 教学基础: 学生基础: 学生只学习了“计算机基础”一章的“计算机产生和发展”一节。 设备基础: 硬件:多媒体网络机房;教师机一台;学生机每人一台;大屏幕投影;教师机与学生机之间互相联网。 教学过程: 一、新课导入 我们日常生活中使用的数是十进制、十进制不是唯一的数的表示方法,表示数的数制还有哪些呢?这些数制与十进制间有什么关系呢?这节课我们就来学习数制。 二、新课讲解

第一部分数制及其转换 1、数制 数制的表示方法:为了区别不同进制数,一般把具体数用括号括起来,在括号的右下角标上相应表示数制的数字。 举例:(101) 2与(101) 10 基数:所使用的不同基本符号的个数。 权:是其基数的位序次幂。 ①十进制、二进制、十六进制、八进制的概念 (1)十进制(D):由0~9组成;权:10i;计数时按逢十进一的规则进行;用(345.59)10 或345.59D表示。 (2)二进制(B):由0、1组成;权:2i;计数时按逢二进一的规则进行;用(101.11)2 或101.11B表示。 (3)十六进制(H):由0~9、A~F组成;权:16i;计数时按逢十六进一的规 则进行;用(IA.C) 16 或IA.CH表示。 (4)八进制(Q):由0~7组成;权:8i;计数时按逢八进一的规则进行;用(34.6)8 或34.6Q表示。 总结:不同数制的表示方法有两种,一种是加括号及数字下标,另一种是数字后加相应的大写字母D、B、H、Q。 ②按权展开基本公式: 设一个基数为R的数值N,N=(d n-1d n-2 …d 1 d d -1 …d -m ),则N的展开为:N=d n-1 ×R n-1 +d n-2×R n-2+…+d 1 ×R1+d ×R0+d -1 ×R-1+…+d -m ×R-m。 说明:(d n-1 d n-2 …d 1 d d -1 …d -m )表示各位上的数字,R i为权。 例如:十进制数2345.67展开式为:2345.67=2×103+3×102+4×101+5×100+6 ×10-1+7×10-2 2、n进制转换为十进制的方法 n进制转换为十进制的方法:按权展开法(将n进制数按权展开相加即可得到相应的十进制数)。以二进制为例: 例如,将二进制数(1011.011) 2 转换成十进制数的方法为: (1011.011) 2=1×23+0×22+1×21+1×20+0×2-1+1×2-2+1×2-3=(11.375) 10

二进制和十进制转换教案(学生版)

二进制和十进制转换教案 姓名分数家长评议 冒险 英格:“如果你完全不冒险去做,其实是冒了更多的险。” 再平凡的人们都有他独特的理想,再困顿的生活都有他光采的价值,不需要羡慕功成名遂的人,他们年少也曾经不知所措,你想从他们身上获得秘诀,他只会老实告诉你:“放手去实现你的理想!” 有两个年轻人,去求助一位老人,他们问着相同的问题:“我有许多的理想和抱负,总是笨手笨脚,不知道何时才能实现。” 老人只给他们一人一颗种子,细心的交代着:“这是一颗神奇的种子,谁能够妥善的把它保存下来,就能够实现你的理想。” 几年后,老人碰到了这两个年轻人,顺道问起种子的情况。 第一个年轻人,谨慎的拿着锦盒,缓缓地掀开里头的棉布,对着老人说:“我把种子收藏在锦盒里,时时刻刻都将它妥善的保存着。” 老人示意的点着头,接着第二个年轻人,汗流浃背的指着那座山丘:“您看,我把这颗神奇种子,埋在土里灌溉施肥,现在整座山丘都长满了果树,每一棵果树都结满了果实。” 老人关切垂爱的说着:“孩子们,我给的并不是什么神奇的种子,不过是一般的种子而已,如果只是守着它,永远不会有结果,只有用汗水灌溉,才能有丰硕的成果。” 不晓得谁说的,人类因为有梦想而显得伟大,也因为有了梦想而产生不凡。我倒觉得可以这么修改,生命因为有了理想而呈现伟大,生活因为有了实践而变得不凡。有了理想可以让你产生伟大的抱负,有了实践可以让你变得楚楚不凡。 如果种子有了神奇的力量,没有接触土壤,没有灌溉耕耘,没有精心栽培,最多也不过是一颗普通种子,一点也神奇不起来。 你想写出的话是。 【运河通道1】进制 基数:基数是指一种进制中组成的基本数字,也就是不能再进行拆分的数字。二进制是0和1;八进制是0-7;十进制是0-9;十六进制是0-9+A-F(大小写均可)。也可以这样简单记忆,假设是n进制的话,基数就是【0,n-1】的数字,基数的个数和进制值相同,二进制有两个基数,十进制有十个基数,依次类推。 运算规则:运算规则就是进位或错位规则。例如对于二进制来说,该规则是“满二进一,借一当二”;对于十进制来说,该规则是“满十进一,借一当十”。其他进制也是这样。 【关键词】你想说什么? 【运河通道2】二进制 二进制以2为基数,只用0和1两个数字表示数,逢2进一。 二进制与遵循十进制数遵循一样的运算规则,但显得比十进制更简单。例如:

二进制教学设计

第一章信息与信息技术 教 学 设 计 学生: 学号: 院系: 班级: 2013年4月6日星期六

目录 前言 (3) 一、教材任务概述 (3) 二、学习对象特征分析 (3) (一)知识基础分析 (3) (二)存在问题分析 (3) (三)学习要求分析 (4) (四)学习能力分析 (4) (五)学习风格分析 (4) (六)情感态度分析 (4) 三、学习目标 (4) (一)知识与技能 (4) (二)过程与方法 (5) (三)情感价值观 (5) 四、教学内容分析 (5) 五、教学重难点分析 (5) (一)教学重点 (5) (二)教学难点 (5) 六、学习资源与环境 (6) 七、教学方法设计 (6) (一)激发学生学习兴趣 (6) (二)才用分层教学 (6) (三)以“任务驱动”为教学原则 (7) (四)多元化评价 (7) 八、教学程序设计 (7) (一)教学流程图 (8) (二)详细教学流程 (11)

《信息与信息技术》 学习设计 前言: 中学信息技术课程是为了适应技术迅猛发展的信息时代对人才培养提出的新要求而设置的必修课程,是以培养学生的信息素养和信息技术操作能力为主要目标,以操作性、实践性和探究性(创新性)为特征的指定学习领域。在国家规定的必修课程领域外,各省、市、自治区在保证最低要求的基础上,在课程内容、培养目标、课时安排等方面有一定的自主权。义务教育阶段信息技术教育的有效实施可以提高学生利用信息技术有效开展各学科学习和探究活动、积极参与社会实践、主动进行终身学习的能力;可以拓展学生适应现代社会生活所需的信息技术技能,巩固信息素养和技术创新意识;对于培养国家建设和国际竞争所需的信息技术人才、提高全社会的科技文化水平具有非常重要的奠基作用。总体而言,义务教育阶段信息技术教育的课程目标为培养——发展学生积极学习和探究信息技术的兴趣,养成——巩固良好的信息意识和健康负责的信息技术使用习惯,形成——提高信息处理能力,培养——强化学生使用信息技术支持各种学习和解决各类问题的意识和能力。 一、学习任务概述 从各章知识的体系可以看出,编者有意识地引导学生,在实践活动中,体验借助计算机和网络获取、处理、表达信息并用以解决实际问题、开展学科学习的过程;活动中理解感知信息的重要性,分析信息编码以及利用计算机等常见信息处理工具处理信息的一般过程;积极参加信息技术活动,主动探究信息技术工作原理和信息科技的奥秘。 二、学习对象特征分析 (一)知识基础分析 小学的信息技术教育基本完成应用软件的学习,并且对计算机已经有一个感性的认识,能够体验信息活动,形成信息意识,能够简单的操作电脑;初中不再是零起点,具有"双衔接"功能。 (二)存在问题分析 学生在小学学习信息技术的时候,存在着一定的思维惯性,并且缺乏一定的动手时间能力,这源于小学生年龄的特性,所以初中信息技术教学在激发学生的学习兴趣的同时,提高

十进制4位加法计数器设计

洛阳理工学院 十 进 制 4 位 加 法 计 数 器 系别:电气工程与自动化系 姓名:李奇杰学号:B10041016

十进制4位加法计数器设计 设计要求: 设计一个十进制4位加法计数器设计 设计目的: 1.掌握EDA设计流程 2.熟练VHDL语法 3.理解层次化设计的内在含义和实现 设计原理 通过数电知识了解到十进制异步加法器的逻辑电路图如下 Q3 则可以通过对JK触发器以及与门的例化连接实现十进制异步加法器的设计 设计内容 JK JK触发器的VHDL文本描述实现: --JK触发器描述 library ieee; use ieee.std_logic_1164.all; entity jk_ff is

port( j,k,clk: in std_logic; q,qn:out std_logic ); end jk_ff; architecture one of jk_ff is signal q_s: std_logic; begin process(j,k,clk) begin if clk'event and clk='0' then if j='0' and k='0' then q_s <= q_s; elsif j='0' and k='1' then q_s <= '0'; elsif j='1' and k='0' then q_s <= '1'; elsif j='1' and k='1' then q_s <= not q_s; end if; end if; end process; q <= q_s; qn <= not q_s; end one; 元件门级电路: 与门VHDL文本描述实现: --与门描述library ieee; use ieee.std_logic_1164.all;

二进制及其转换教案

第11章逻辑代数初步 11.1 二进制及其转换 【教学目标】 l、了解二进制的含义; 2、会进行二进制与十进制之间的相互转换; 【教学重点】 掌握二进制的含义 【教学难点】 会进行二进制与十进制之间的相互转换 【教学方法】 这节课主要采用探究教学和讲授法结合的教学方法,运用二进制的含义,会进行二进制与十进制之间的相互转换,使学生容易理解,同时结合习题让学生加深对逻辑运算的理解。 【教学过程】 环节教学内容设计意图 回顾旧知1、了解散点图的概念,能说出变量相关关系的含义; 2、能根据给出的回归直线方程系数公式建立回归直线方程; 3、会用科学计算器求回归系数。 教师提出问题, 学生回顾旧知识,做 出解答,教师讲解。 通过回顾旧知,唤起 学生对旧知识的回 顾,为学习新知识做 好铺垫。 导入1、十进制的基数是?进位规则是? 2、二进制的基数是?每个数位上的数码个数是?数码分别是? 进位规则是? 我们目前所接触的数都是十进制,它是用0、1、2、3、4、5、 6、7、8、9这十个数码符号来表示的,今天我们来学习另一种 常见的表示数的方法——二进制 教师提出问 题.学生回顾逻辑运 算的规则和真值表的 知识,概括、认识逻 辑运算律,符合职校 学生的认知能力. 新课 相关概念: 1.十进制:用0、1、2、3、4、5、6、7、8、9这十个数码符号 放到相应的位置来表示数的一种方法。如56365 介绍法

2.数位:数码符号在数中的位置 3.基数:每个数位上可以使用的数码符号的个数。十进制的每 一个数位都可以用十个数码符号。 4.位权数:每个数位所代表的数。十进制的进位规则为“逢10 进位1”,位权数如下: 位置 整数部分小数点第三位第二位第一位起点 位权数100 10 1 新课 二进制的概念及十进制、二进制的意义: 十进制的意义:各个十位的数码与其位权数的乘积和。例如: 1 2 3 410 5 10 6 10 3 10 6 10 5 56365? + ? + ? + ? + ? = 二进制:用0、1这两个数码符号表示数的一种方法。例如110101 位置 整数部分小数点 第三位第二位第一位起点 位权数 4 2 1 二进制的意义:各个十位的数码与其位权数的乘积和。例如 1 2 3 4 52 1 2 2 1 2 2 1 2 1 110101? + ? + ? + ? + ? + ? = 二进制与十进制的相互转化: 1.二进制化成十进制 __________ __________ __________ ) 111001101 ( _______ __________ __________ ) 1010110 ( 2 2 = = 2.十进制化成二进制 ______ __________ ) 125 ( __ __________ ) 79 ( 10 10 = = 讲述法 举例说明 启发 观察 引导 学生练习 教师巡视 知识拓展问题解决 例1 写出下列各数的按权展开式 __________ __________ __________ ) 111001101 ( _______ __________ __________ ) 1010110 ( 2 2 = = 例2 将下列二进制数转换成十进制数 引导学生小组 合作交流。

设计一个四位二进制计数器

1、要求:设计一个四位二进制计数器,将计数结果由数码管显示,显示结果为十进制数。数码管选通为低电平有效,段码为高电平有效。 分析:VHDL 描述包含五部分:计数器、将四位二进制数拆分成十进制数的个位和十位、二选一的数据选择器、七段译码、数码管选通控制信号 线定义为信号 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter3 is Port ( clk:in STD_LOGIC; clk1 : in STD_LOGIC; clr : in STD_LOGIC; en : in STD_LOGIC; co : out STD_LOGIC; scanout:out std_logic_vector(1 downto 0); ledout:out std_logic_vector(6 downto 0)); end counter3; architecture Behavioral of counter3 is signal cnt:std_logic_vector(3 downto 0); signal cnt1:std_logic_vector(3 downto 0); signal cnt2:std_logic_vector(3 downto 0); signal hex:std_logic_vector(3 downto 0); signal scan:std_logic_vector(1 downto 0); en clr

二进制完整版教案

教学目标 通过对二进制数的学习,使学生掌握计算机中信息表示的方法,从而对信息的数字化有所认识。鼓励学生在学习中要善于发现,善于钻研,力争为计算机的发展作出自己的贡献。 教学内容 什么是二进制、十进制 为什么计算机要采用二进制表示信息二进制与十进制之间的转换 教学重点与难点 为什么计算机要采用二进制表示信息 二进制与十进制之间的转换 教学方法 讲授,练习法 教学准备 计算机,投影,教案 教学过程 一、数制 (一)数制的概念师:同学们,大家回想一下,我们最早学习的数字与运算法则是什么? 生:0、1、2——9 的数字,法则是加法 师:对,我们最开始学习的就是十以内的加法,之后是两位数的加法,在两位数加法的学习中,老师是不是经常会说,要注意逢十进一?也就是我们平常说的别忘了进位。像这样按进位的原则进行记数的方法叫做进

位记数制。“进位记数制”简称为“数制”或“进制”。我们平时用的最多的就是十进制了,那么,大家想一下,还有没有其他的进制呢?比如说,小时、分钟、秒之间是怎么换算的? 生:一小时等于60分钟,一分钟等于60秒。 师:那我们平时会不会说我做这件事情用了130分钟呢?我们一般会说,我花了两个小时零10分钟,也就是说逢六十进一,这就是60进制。由此也可以推断出,每一种数制的进位都遵循一个规则,那就是一一逢N进1。 由此可以总结数制的概念就是:数制是用一组固定的数字和一套统一的规则来表示数目的方法。 (二)数制特点 1、使用一组固定的数字表示数值的大小; 如:十进制的表示数字是0、1、2、3、4、5、6、7、8、9。 2、统一的规则:逢N进一; 如:十进制逢十进一。 (三)数制的要素:基数和位权。 这里的N叫做基数。所谓“基数”就是指各种进位计数制中允许 选用基本数码的个数,比如,十进制中用0――9来表示数值,一共有10个不同的字符,那么,10就是十进制的基数,表示逢十进一。 则二进制的基数为二。 什么是位权?

二进制与计算机教学设计

二进制与计算机教学设 计 Document serial number【NL89WT-NY98YT-NC8CB-NNUUT-NUT108】

教学设计:《二进制与计算机》 一、教材分析 本内容选自广州市教育局教学研究室2013年新编的《信息技术》初中第一册第一章《信息与信息技术》中第3节《计算机的基本工作原理》中的第二小节。二进制是计算机工作的基本形式,也是计算机理论知识中的最基本的原理,对于信息技术的学习及了解计算机的工作原理具有不可忽视的奠基作用。原教材以一小节的篇幅介绍二进制,只解答了计算机为什么要采用二进制,语焉不详,内容也相对抽象不易理解,难以引起学生的兴趣和重视。有鉴于此,笔者单独以一课时的时间介绍这一相关知识。 二、教学对象分析 本课教学对象为初一的学生。初一的学生活泼好 动,但其逻辑思维能力和抽象思维能力相对较弱,对于 二进制的工作原理不一定能够直观地理解,所以,笔者 在教学设计中,以活动为主线,环环相扣,让学生在游 戏中不断体悟二进制的妙用。 三、教学目标 (一)知识与技能:学会二进制数与十进制数之间的转化,认识计算机表示字符的原理,认识计算机描述图片的原理。 (二)过程与方法:通过模拟活动体会到计算机对字符的表示方法,通过设计图形编码了解计算机对图像的表示方法。 (三)情感态度价值观:学会相互之间的合作和沟通,了解二进制原理在计算机中和生活中的应用,激发其创新思考的乐趣。 四、重点难点分析 教学重点:二进制与十进制的转换 教学难点:二进制对字符的表示 五、教学手段 讲授法、游戏法 六、教学实施过程

七、教学反思 笔者在设计这节课的时候,曾经反复思考,按照计算思维的理论,应该怎样将计算机的理论知识变成普适的知识。计算机的发明和不断改进,以及层出不尽的应用,都凝聚了前辈的智慧,不少伟大的数学家、计算机科学家在为其添砖加瓦,不妨说计算机是人类智慧的伟大结晶。但我们在教授信息技术课程,或者说计算机理论知识时,更多只停留在应用层面,或者只讲解现成的构架,没有将发明过程中的艰难问题提出来,没有将计算机科学家如何柳暗花明巧妙化解难题的智慧表现出

四位二进制加法计数器

学院信息学院专业通信工程姓名陈洁学号02 设计题目数字系统课程设计 内容四位二进制加法计数器 技术参数和要求0000→0001→0010→0011→0110→0111→1000→1001→1010→1011→1100→→1101→1110→1111→0000 缺0100→0101 设计任务 1.按要求设计VHDL程序, 2.在Xinlinx Ise环境中运行程序并输出仿真波形。 工作进度和安排第18周: 1.学习Xinlinx Ise软件知识,熟悉软件相关操作; 2.学习multsim软件知识,熟悉其在画逻辑电路时的应用; 3.查阅相关资料,学习时序逻辑电路设计知识。 第20周: 1.按要求编写程序代码,; 2.运行并输出仿真波形; 3.程序下载到电路板测试; 4.利用multsim软件,设计时序电路; 5.运行并验证结果; 6.撰写报告。 指导教师(签字): 年月日学院院长(签字): 年月日

目录 一.数字系统简介 (3) 二.设计目的和要求 (3) 三.设计内容 (3) 四.VHDL程序设计 (3) 五.波形仿真 (11) 六. 逻辑电路设计 (12) 六.设计体会 (13) 七.参考文献 (13)

一.数字系统简介 在数字逻辑设计领域,迫切需要一种共同的工业标准来统一对数字逻辑电路及系统的描述,这样就能把系统的设计分解为逻辑设计(前端),电路实现(后端)和验证桑相互独立而又相关的部分。由于逻辑设计的相对独立性就可以把专家们设计的各种数字逻辑电路和组件建成宏单元或软件核,即ip库共设计者引用,设计者可以利用它们的模型设计电路并验证其他电路。VHDL这种工业标准的产生顺应了历史潮流。 二.设计目的和要求 1、通过《数字系统课程设计》的课程实验使电子类专业的学生能深入了解集成中规 模芯片的使用方法。 2、培养学生的实际动手能力,并使之初步具有分析,解决工程实际问题的能力。三.设计内容 四位二进制加计数,时序图如下: 0000→0001→0010→0011→0110→0111→1000→1001→1010→1011→1100→→1101→1110→1111 →0000 缺0100→0101 。由JK触发器组成4位异步二进制加法计数器。 四.VHDL程序设计 四位二进制加计数,缺0100,0101(sw向上是0(on);灯亮为0) LIBRARY IEEE; USE entity count10 is PORT (cp,r:IN STD_LOGIC; q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); end count10; ARCHITECTURE Behavioral OF count10 IS SIGNAL count:STD_LOGIC_VECTOR(3 DOWNTO 0) ; BEGIN PROCESS (cp,r) BEGIN if r='0' then count<="0000"; elsiF cp'EVENT AND cp='1' THEN if count="0011" THEN count <="0110"; ELSE count <= count +1; END IF; end if; END PROCESS; q<= count; end Behavioral;

二进制及其转换教案

二进制及其转换 [教学目标] 1、认知目标 (1)掌握进位制概念; (2)理解进制的本质; (3)掌握十进制和二进制的相互转换; (4)了解计算机所采用的数制及计算机采用二进制数的原因。 2、技能目标 掌握二进制数和十进制数转换以及运算规则。 3、能力目标 对学生思维能力进行拓展,激发他们探索计算机奥秘的欲望。 [教学重点] (1)进制的本质组成 (2)十进制与二进制间的相互转换 [难点] (1)进制的本质组成 (2)十进制与二进制间的相互转换 [教学方法] 讲授法举例法 [授课地点] 普通教室,不用多媒体 [教学过程] 一、引入新课 对计算机稍微了解的同学就知道计算机中使用的进位制是二进制,那什么是二进制,它跟我们数学上使用的十进制有什么联系。这节课准备给大家补充点二进制的知识,这跟数学关系很密切,请同学务必认真听课。 二、切入课堂内容 1、什么是进位制 提出问题:什么是进位制?最常见的进位制是什么? 学生普遍回答是十进制。 教师继续提问:那十进制为什么叫十进制?引起学生的思考。(部分经过思考的学生回答是约定的) 教师提醒学生一起回忆幼儿园开始学习算术的情景。 当是我们是从最简单的个位数相加学起,比如2+3=?,当时我们会数手指,2个手指+3个手指等于5个

手指,答案为5。 那4+6呢?4个手指+6个手指等于10个手指,10个手指刚好够用。 那6+9呢?当时我们就困惑了。记得当时老师是告诉我们把6拆成1+5,9+1=10,这时老师跟我们约定用一个脚趾表示10,另外用5个手指表示5。这样通过脚趾,我们就成功解决了两个数相加超过10的问题。 教师提问:那当时我们为什么要约定10呢,为什么用9或11?引起学生思考。(部分经过思考的学生回答为了方便运算) 教师提问:除此之外还有哪些常见的进位制?请举例说明。拓展学生的思维。 有学生回答60进制(时分秒的换算),360进制(1周=360度),二进制等等。 教师和学生一起归纳进位制的概念,学生和老师形成共识: 进位制是人们为了计数和运算方便而约定的记数系统。 2、什么是十进制? 教师提出问题:大家学习了十几年十进制,我们了解十进制吗?所谓的十进制,它是如何构成的? 引起学生思考。 十进制由三个部分构成: (1)由0、1、2、3、4、5、6、7、8、9十个数码组成; (2)进位方法,逢十进一;(基数为10) (3)采用位权表示法,即一个数码在不同位置上所代表的值不同。 引入基数和位权的概念 一种进制就规定了一组固定的数字,数字的个数就是这种类制的基数,如十进制规定了,0,1,2…9共10个数字,则十进制的基数就为10。 位权是一个比较新的概念,通过简单的例子介绍什么是位权。 比如:数码3,在个位上表示为3,在十位表示为30,在百位表示为300,在千位表示为3000。 3333=3000+300+30+3=3*103+3*102+3*101+3*100 这里个(100)、十(101)、百(102),称为位权,位权的大小是以基数为底,数码所在位置序号为指数的整数次幂。 教师提出问题:其它进位制的数又是如何的呢?引入二进制。 3、什么是二进制? 从生活最常用的十进制入手,讲解基数和位权的概念,学生理解后,引入二进制数的概念,在对二进制数进行介绍时,会把学生带入到一个全新的数字领域。 (1)二进制的表示方法(同样由三部分组成) ①由0、1两个数码来描述。如11001,记为11001(2)或者(11001)2 ②进位方法,逢二进一;(基数为2) ③位权大小为2-n ...、2-1、20、21、22...2n 比如 01234(2)2 12020212111001?+?+?+?+?=

相关文档
最新文档