数字电路基础知识

数字电路基础知识
数字电路基础知识

数字电路基础知识

第一节数制与码制

一几种常用数制

1.十进制

基数为10,数码为:0~9;

运算规律:逢十进一,即:9+1=10。

十进制数的权展开式:任意一个十进制数都可以表示为各个数位上的数码与其对应的权的乘积之和,称为位权展开式。如:(5555)10=5×103+5×102+5×101+5×100又如:(209.04)10= 2×102+

0×101+9×100+0×10-1+4 ×10-2

二进制

基数为2,数码为:0、1;

运算规律:逢二进一,即:1+1=10。

二进制数的权展开式:

如:(101.01)2=1×22+0×21+1×20+0×2-1+1 ×2-2=(5.25)10

2.八进制

基数为8,数码为:0~7;

运算规律:逢八进一。

八进制数的权展开式:

如:(207.04)10=2×82+0×81+7×80+0×8-1+4 ×8-2 =(135.0625)10

十六进制

基数为十六,数码为:0~9、A~F;

运算规律:逢十六进一。

十六进制数的权展开式:

如:(D8.A)2=13×161+8×160+10 ×16-1=(216.625)10二不同进制数的相互转换

1.二进制数与十进制数的转换

(1)二进制数转换成十进制数

方法:把二进制数按位权展开式展开

(2)十进制数转换成二进制数

方法:整数部分除二取余,小数部分乘二取整.整数部分采用基数连除法,先得到的余数为低位,后得到的余数为高位。小数部分采用基数连乘法,先得到的整数为高位,后得到的整数为低位。例:

所以:(44.375)10=(101100.011)2

2.八进制数与十进制数的转换

方法:整数部分除八取余,小数部分乘八取整。

3.十六进制数与十进制数的转换

方法:整数部分除十六取余,小数部分乘十六取整。

4.八进制数与二进制数的转换

(1)二进制数转换为八进制数: 将二进制数由小数点开始,整数部分向左,小数部分向右,每3位分成一组,不够3位补零,则每组二进制数便是一位八进制数。

(2)八进制数转换为二进制数:将每位八进制数用3位二进制数表示。

5.十六进制数与二进制数的转换

二进制数与十六进制数的相互转换,按照每4位二进制数对应于一位十六进制数进行转换。

三 码制

码制即骗码方式,编码即用按一定规则组合成的二进制码去表示数或字符等. 1.二-十进制编码(BCD 码)

为使二进制和十进制之间转换更方便,常使用二进制编码的十进制代码,这种代码称为二-十进制码,简称BCD 码.

由于去掉六种多余状态的方法不同,因而出现不同的BCD 码,如去掉最后六种状态得到的是8421码,去掉最前和最后三种状态得到的是余3码,另外还有格雷码,它是在任意相邻的两组代码中只有一位码不同,这样可使当连续变化时产生错误的可能性小,可靠性高。格雷码又称反射码,一个N 位的格雷码可由N-1位格雷码按一定规律写出。

常用的BCD 码见P10表1-2,其中前三种为有权码,后两种为无权码.

3.海明码

二进制信息在传送时,可能会发生错误,利用海明码不但可以发现错误,还能校正错误,下面以8421海明校验码为例来说明.

8421海明校验码是由8421码作信息位,再加3位校验位组成,它是一个七位代码,编码方式见P11表1-3.

表中B1——B4是8421码的信息位,P1——P3是3位校验位,8421海明码可以检测并校正1位错误。

为了检测,在接收端预先求出三个校验和,设为S3、S2、S1。 32343P B B B S ⊕⊕⊕=

21342P B B B S ⊕⊕⊕=

11241P B B B S ⊕⊕⊕=

只有当S3=S2=S1=0时,表明传的代码没有错误。若传的代码有1位错误,则由三位校验位指出错在何处。

第二节 逻辑代数

逻辑是指人们思维的一种规律性。逻辑代数和普通代数一样,也是用字母代表变量,逻辑变量只有0和1两个取值。0和1不表示数量的大小,只表示对立的两种逻辑状态。数字电路从其工作过程上看,总是体现一定条件下的因果关系,即输出与输入之间一定的逻辑关系。因此,逻辑代数是分析和设计数字电路的数学工具。 一、 三种基本逻辑关系和运算 1.“与”逻辑及运算:仅当决定事件(Y )发生的所有条件(A ,B ,…)均满足时,事件(Y )才能发生。表达式为:B A Y ?=或Y=AB

“与”逻辑表达式为: B A Y ?=或Y=AB

2.“或”逻辑及运算

“或”逻辑表达式为: Y=A+B 3.“非”逻辑及运算

“非”逻辑表达式为: A Y = 二、 复合逻辑

是由基本“与”、“或”、“非”逻辑组合而成的。 1.“与非”逻辑

“与非”逻辑表达式为: AB Y = 2.“或非”逻辑

“或非”逻辑表达式为: B A Y += 3.“与或非”逻辑

“与或非”逻辑表达式为: CD AB Y += 4.“异或”逻辑与“同或”逻辑

“异或”逻辑表达式为: B A Y ⊕=或B A B A Y +=

“同或”逻辑表达式为:B A Y Θ= 或 B A AB Y += 三、 逻辑函数

1. 逻辑函数的定义:

若变量A 、B 、C …的取值确定以后,变量Y 的值也唯一地确定了,那么就称Y 是A 、B 、C …的逻

辑函数。记作:

Y=F (A 、B 、C …) 2. 逻辑函数的表示法 (1) 真值表

以列表的方式反映了逻辑函数各变量取值组合与函数值之间的关系。对于一个确定的逻辑函数来说,它的真值表只有一个。 (2) 逻辑表达式

是用“与”逻辑、“或”逻辑、“非”逻辑等基本逻辑运算符号来表示逻辑函数中各个变量之间逻辑关系的代数式。

在逻辑函数表达式的运算中,要注意以下几点: ① 运算顺序是先算括号内的式子,再算与,最后算或。 ② 对一组变量进行非运算时,可以不用括号。 (3) 逻辑图

是用逻辑符号表示逻辑函数的方法。

在数字电路中,对应各种逻辑符号,一般都有实现其功能的单元电路。因此,要完成逻辑电路的设计,必须把逻辑函数以逻辑图的形式表示,以便确定电路结构。 (4) 卡诺图

是由 个小方块按一定规律排列而成的图形。 3.逻辑函数不同表示法之间的互换

① 由逻辑函数式求真值表

只要把变量可能出现的各种取值组合,分别代入函数表达式,求出对应的函数值,再列表即可。

② 由真值表求逻辑函数式

在给出的函数真值表中,取出函数值等于1所对应的变量取值组合,组合中变量值为1的写成原变量,为0的写成反变量,并把它们连乘起来构成乘积项。这样,对于每一个函数值等于1的变量取值组合都可以写出一个乘积项,然后将这些乘积项相加,就得到相应的函数逻辑表达式了。

③ 由逻辑表达式画出逻辑图

逻辑函数式是由与、或、非三种运算组合而成的,只要用这三种逻辑符号来表示这三种运算,就可以得到相应的逻辑图。

例:试画出函数B A AB Y +=的逻辑图

例:试画出函数AB B AB A Y += 的逻辑图

④ 由逻辑图写出逻辑表达式

根据已知的逻辑图,由变量端开始逐级写出逻辑表达式。 例:写出图示逻辑图的逻辑函数表达式。 四、 逻辑代数的基本公式与定律 1. 基本公式和基本定律

自等律 A+0=A A A =?1 0-1律 A+1=1 00=?A 重叠律 A+A=A A A A =?

互补律 1=+A A 0=?A A

还原律 A A =

交换律 A+B=B+A A B B A ?=?

结合律 (A+B )+C=A+(B+C ) )()(C B A C B A ??=??

分配律 C A B A C B A ?+?=+?)( ))((C A B A C B A ++=?+ 反演律 B A B A ?=+ B A B A +=? 反演律公式或以推广到多个变量: ΛΛC B A C B A ??=++

ΛΛC B A C B A ++=??

这些基本定律可以直接利用真值表证明,如果等式两边的真值表相同,则等式成立。 例:证明交换律。

2. 常用公式

(1) A+AB=A

证明:A A B A AB A =?=+?=+1)1(

(2) A B A AB =+

证明:A A B B A B A AB =?=+?=+1)(

(3) A B A A =+?)(

证明: A AB A B A A A B A A =+=?+?=+?)( (4) B A B A A +=+

证明: B A B A B A A A B A A +=+?=++=+)(1))((

(5) C A AB BC C A AB +=++ 证明:C

A A

B B

C A C AB BC A ABC C A AB BC A A C A AB BC C A AB +=+++=+++=+++=++)(!)1()(

(6) B A AB B A B A +=+

证明:B A AB B B AB B A A A B A B A B A B A B A B A +=+++=++=?=+))((

3. 逻辑代数的三个规则

(1) 代入规则:在任何一个逻辑等式中,如果将某个变量用同一个函数式来代换,则等式成立。 例:已知等式A+AB=A ,若令Y=C+D 代替等式中的A ,则新等式(C+D )+(C+D )B=C+D 成立。 证明:(C+D )+(C+D )B=(C+D )(1+B )=(C+D )*1=C+D

(2) 反演规则

对于任意一个逻辑函数Y ,如果要求其反函数Y 时,只要将Y 表达式中的所有“*”换成“+”,“+”换成“*”,“0”换成“1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,即可求出函数Y 的反函数。

注意: ① 要注意运算符号的优先顺序。不应改变原式的运算顺序。

例:CD B A Y +=应写为))((D C B A Y ++= 证: ))((D C B A CD B A CD B A Y ++=?=+= ② 不是一个变量上的非号应保持不变。

例:)(E D C C B A Y ?+?= 则[]

)()(E D C C B A Y ++?++=

D C B A Y +?= 则 D C B A Y ?++=

(3) 对偶规则

对于函数Y ,若把其表达式中的“*”换成“+”,“+”换成“*”,“0”换成“1”,“1”换成“0”,就可得到一个新的逻辑函数Y ,Y 就是Y 的对偶式。

例如:)(C B A Z += 则C B A Z +=' C B A Z += )(C B A Z +=' AC B A Z += ))((C A B A Z ++=' C B A Z ++= C B A Z ?='

若两个逻辑式相等,它们的对偶式也一定相等。

例:))()((D A C A B A BCD A +++=+ 则:AD AC AB D C B A ++=++)(

使用对偶规则时,同样要注意运算符号的先后顺序和不是一个变量上的“非”号应保持不变。 五、 逻辑函数的化简 1. 化简的意义

逻辑函数的简化意味着实现这个逻辑函数的电路组件少,从而降低成本,提高电路的可靠性。

例如:C

B B A A A

C B C C B A C

B A B

C A C B A C B A Y +=+++=+++=)

()(

逻辑涵数表达式的表达形式大致可分为五种:“与或”式、“与非-与非”式、“与或非”式、“或与”式、“或非-或非”式。它样可以相互转换。例如:

B

A C A

B A

C A B A C A AB C A AB C A C A B A C A B A C A B A C A B A Y +++=++=++=?=+=++=?=+=+=))(())(())((

逻辑函数的化简,通常指的是化简为最简与或表达式。因为任何一个逻辑函数表达式都比较容易展开成与或表达式,一旦求得最简与或式,又比较容易变换为其它形式的表达式。

所谓最简与或式,是指式中含有的乘积项最少,并且每一个乘积项包含的变量也是最少的。

2. 逻辑函数的代数化简法

代数化简法就是运用逻辑代数的基本定律、规则和常用公式化简逻辑函数。代数化简法经常用下列几种方法: (1) 合并项法

利用公式A B A AB =+,将两项合并为一项,消去一个变量。 例如:1)(=+=++=++=BC BC BC A A BC BC BC A ABC Y B C A A AC B C AB B A ABC Y =++=++=)(

(2) 吸收法

利用公式A+AB=A 及AB+AC+BC=AB+AC ,消去多余乘积项。

例如:B A F E CD B A B A Y =++=)(

C B A

D B A CD C B A D B A Y +=++=

(3) 消去法

利用公式A+AB=A+B 消去多余因子。

例如:E B A E B B A E B AB A Y ++=++=++=

C AB C AB AB C B A AB C B C A AB Y +=+=++=++=)(

CD

B A B A CD B A B A B A B A CD B A AB B A B A CD B A ABCD B A B A Y ++=+++=+++=+++=)(

(4) 配项法

利用公式A+A=1,给某个乘积项配项,以达到进一步简化。

例如:C

A C

B AB B B

C A C B AB AB

BC A ABC C B C B A C B A AB

A A BC C

B

C C B A AB BC C B B A Y ++=+++=+++++=+++++=+++=)()()(

例:EF

B BD A EF B EF B A BD

C A AB A EF B EF B A B

D C A AB D A AD Y ++=+++++=++++++=

例:C

C AB C C AB C C A C AB C C C B C B A C AB B B A C C B C B A C AB C B C B A C C B C B A A C

AB C B C B A C A C AB C B BC A AC C AB C B BC A AC Y =+=++=++++=+++++++=++++++++=+++++=+??=+++=)()1)(1())(())(())(())()((

在数字电路中,大量使用与非门,所以如何把一个化简了的与或表达式转换与与非-与非式,并用与非门去实现它,是十分重要的。一般,用两次求反法可以将一个化简了的与或式转换成与非-与非式。

例:D C BC AB D C BC AB D C BC AB Y ??=++=++=

3. 卡诺图化简法 (1) 最小项 ① 最小项的定义

对于N 个变量,如果P 是一个含有N 个因子的乘积项,而在P 中每一个变量都以原变量或反变量的形式出现一次,且仅出现一次,那么就称P 是N 个变量的一个最小项。

因为每个变量都有以原变量和反变量两种可能的形式出现,所以N 个变量有N

2 个最小项。 ② 最小项的性质

P24表-16列出了三个变量的全部最小项真值表。由表可以看出最小项具有下列性质: 性质1:每个最小项仅有一组变量的取值会使它的值为“1”,而其他变量取值都使它的值为“0”。 性质2:任意两个不同的最小项的乘积恒为“0”。 性质3:全部最小项之和恒为“1”。

由函数的真值可以很容易地写出函数的标准与或式,此外,利用逻辑代数的定律、公式,可以将任何逻辑函数式展开或变换成标准与或式。 例:C

B A B

C A C AB ABC B B AC A A BC C C AB AC BC AB Y +++=+++++=++=)()()(

例:C

AB ABC C B A C B A C C AB C B A C B A AB C B A B A AB C B A AB AB

C B A AB AB C B A AB Y +++=+++=+++=+??=+++=++=)())(()(

③ 最小项编号及表达式

为便于表示,要对最小项进行编号。编号的方法是:把与最小项对应的那一组变量取值组合当成二进制数,与其对应的十进制数,就是该最小项的编号。

在标准与或式中,常用最小项的编号来表示最小项。如:

ABC C AB C B A BC A Y +++=常写成7653),,(m m m m C B A F Y +++==或∑=m Y )7,6,5,3(

(2) 逻辑函数的卡诺图表达法 ① 逻辑变量卡诺图

卡诺图也叫最小项方格图,它将最小项按一定的规则排列成方格阵列。根据变量的数目N ,则应有n 2个小方格,每个小方格代表一个最小项。

卡诺图中将N 个变量分成行变量和列变量两组,行变量和列变量的取值,决定了小方格的编号,也即最小项的编号。行、列变量的取值顺序一定要按格雷码排列。P26列出了二变量、三变量和四变量的卡诺图。

卡诺图的特点是形象地表达了各个最小项之间在逻辑上的相邻性。图中任何几何位置相邻的最小项,在逻辑上也是相邻的。

所谓逻辑相邻,是指两个最小项只有一个是互补的,而其余的变量都相同,

所谓几何相邻,不仅包括卡诺图中相接小方格的相邻,方格间还具有对称相邻性。对称相邻性是指以方格阵列的水平或垂直中心线为对称轴,彼此对称的小方格间也是相邻的。

卡诺图的主要缺点是随着变量数目的增加,图形迅速复杂化,当逻辑变量在五个以上时,很少使用卡诺图。

② 逻辑函数卡诺图

用卡诺图表示逻辑函数就是将函数真值表或表达式等的值填入卡诺图中。

可根据真值表或标准与或式画卡诺图,也可根据一般逻辑式画卡诺图。若已知的是一般的逻辑函数表达式,则首先将函数表达式变换成与或表达式,然后利用直接观察法填卡诺图。观察法的原理是:在逻辑函数与或表达式中,凡是乘积项,只要有一个变量因子为0时,该乘积项为0;只有乘积项所有因子都为1时,该乘积项为1。如果乘积项没有包含全部变量,无论所缺变量为1或者为0,只要乘积项现有变量满足乘积项为1的条件,该乘积项即为1。

例1:

可写成

例2:

∑=)

15,14,11,7,6,4,3,1(),,,(m D C B A Y

(3)逻辑函数的卡诺图化简法

①合并最小项的规律

根据公式AB+AB=A或知,两逻辑上相邻的最小项之和或以合并成一项,并消去一个变量;四个相邻最小项可合并为一项,并消去两个变量。卡诺图上能够合并的相邻最小项必须是2的整次幂。

②用卡诺图化简逻辑函数

用卡诺图化简逻辑函数一般可分为三步进行:首先是画出函数的卡诺图;然后是圈1合并最小项;最后根据方格圈写出最简与或式。

在圈1合并最小项时应注意以下几个问题:圈数尽可能少;圈尽可能大;卡诺图中所有“1”都要被圈,且每个“1”可以多次被圈;每个圈中至少要有一个“1”只圈1次。一般来说,合并最小项圈1的顺序是先圈没有相邻项的1格,再圈两格组、四格组、八格组……。

两点说明:

①在有些情况下,最小项的圈法不只一种,得到的各个乘积项组成的与或表达式各不相同,哪个是最简的,要经过比较、检查才能确定。

例:

②在有些情况下,不同圈法得到的与或表达式都是最简形式。即一个函数的最简与或表达式不是唯一的。

例:

4.具有约束条件的逻辑函数化简

(1)约束、约束条件、约束项

在实际的逻辑问题中,决定某一逻辑函数的各个变量之间,往往具有一定的制约关系。这种制约关系称为约束。

例如,设在十字路口的交通信号灯,绿灯亮表示可通行,黄灯亮表示车辆停,红灯亮表示不通行。如果用逻辑变量A、B、C分别代表绿、黄、红灯,并设灯亮为1,灯灭为0;用Y代表是否停车,设停车为1 ,通行为0 。则Y的状态是由A、B、C产状态决定的,即Y是A、B、C是函数。

在这一函数关系中,三个变量之间存在着严格的制约关系。因为通常不允许两种以上的灯同时亮。如果用逻辑表达式表示上述约束关系,有:

AB=0 BC=0 AC=0 或 AB+BC+AC=0

通常把反映约束关系的这个值恒等于0的条件等式称为约束条件。

将等式展开成最小项表达式,则有

=

+

+

+C

B

A

BC

A

C

AB

ABC

由最小项性质可知,只有对应的变量取值组合出现时,其值才为1。约束条件中包含的最小项的值恒为0,不能为1,所以对应的变量取值组合不会出现。这种不会出现的变量取值组合所对应的最小项称为约束项。

约束项所对应的函数值,一般用Х表示。它表示约束项对应的变量取值组合不会出现,而函数值可以认为是任意的。

约束项可写为:∑=

m 0

)7,6,5,3(

(2)具有约束的逻辑函数的化简

约束项所对应的函数值,既或看作0,也可看作1。当把某约束项看作0时,表示逻辑函数中就不包括该约束项,如果是看作1,则说明函数式中包含了该约束项,但因其所对应的变量取值组合不会出现,也就是说加上该项等于加0,函数值不会受影响。

例:

模拟数字电路基础知识

第九章 数字电路基础知识 一、 填空题 1、 模拟信号是在时间上和数值上都是 变化 的信号。 2、 脉冲信号则是指极短时间内的 电信号。 3、 广义地凡是 规律变化的,带有突变特点的电信号均称脉冲。 4、 数字信号是指在时间和数值上都是 的信号,是脉冲信号的一种。 5、 常见的脉冲波形有,矩形波、 、三角波、 、阶梯波。 6、 一个脉冲的参数主要有 Vm 、tr 、 Tf 、T P 、T 等。 7、 数字电路研究的对象是电路的输出与输入之间的逻辑关系。 8、 电容器两端的电压不能突变,即外加电压突变瞬间,电容器相当于 。 9、 电容充放电结束时,流过电容的电流为0,电容相当于 。 10、 通常规定,RC 充放电,当t = 时,即认为充放电过程结束。 11、 RC 充放电过程的快慢取决于电路本身的 ,与其它因素无关。 12、 RC 充放电过程中,电压,电流均按 规律变化。 13、 理想二极管正向导通时,其端电压为0,相当于开关的 。 14、 在脉冲与数字电路中,三极管主要工作在 和 。 15、 三极管输出响应输入的变化需要一定的时间,时间越短,开关特性 。 16、 选择题 2 若一个逻辑函数由三个变量组成,则最小项共有( )个。 A 、3 B 、4 C 、8 4 下列各式中哪个是三变量A 、B 、C 的最小项( ) A 、A B C ++ B 、A BC + C 、ABC 5、模拟电路与脉冲电路的不同在于( )。 A 、模拟电路的晶体管多工作在开关状态,脉冲电路的晶体管多工作在放大状态。 B 、模拟电路的晶体管多工作在放大状态,脉冲电路的晶体管多工作在开关状态。 C 、模拟电路的晶体管多工作在截止状态,脉冲电路的晶体管多工作在饱和状态。 D 、模拟电路的晶体管多工作在饱和状态,脉冲电路的晶体管多工作在截止状态。 6、己知一实际矩形脉冲,则其脉冲上升时间( )。 A 、.从0到Vm 所需时间 B 、从0到2 2Vm 所需时间 C 、从0.1Vm 到0.9Vm 所需时间 D 、从0.1Vm 到 22Vm 所需时间 7、硅二极管钳位电压为( ) A 、0.5V B 、0.2V C 、0.7V D 、0.3V 8、二极管限幅电路的限幅电压取决于( )。 A 、二极管的接法 B 、输入的直流电源的电压 C 、负载电阻的大小 D 、上述三项 9、在二极管限幅电路中,决定是上限幅还是下限幅的是( ) A 、二极管的正、反接法 B 、输入的直流电源极性 C 、负载电阻的大小 D 、上述三项 10、下列逻辑代数定律中,和普通代数相似是( ) A 、否定律 B 、反定律 C 、重迭律 D 、分配律

《数字电子技术基础》复习指导(第十章)

第十章 数-模和模-数转换 一、本章知识点 权电阻、倒T 形D/A 转换器的原理 双极型D/A 转换应用电路分析。(题9.3) D/A 转换器V O 的计算,考虑线性误差后V O 的实际范围 A/D 转换的步骤; A/D 转换的分辨率(基本概念) 采样定理的内容和物理含义 并联比较型、计数型、逐次比较型、双积分型A/D 转换器转换速度的比较 计数型、逐次比较型A/D 转换器转换时间的计算 二、例题 (一)概念题 1.对于n 位的权电阻网络D/A 转换器,当求和运算放大器的反馈电阻为 2R 时,输出电压的 公式为V 0= 。 2.对于倒T 型电阻网络D/A 转换器,其电阻网络中只有 两种阻值的电阻。 3.一个4位D/A 转换器,满量程电压为10V ,其线性误差为± 2 1LSB ,当输入为1100时, 其输出电压实际值的范围为 。 4.一个8位D/A 转换器,V REF =10V ,其线性误差为±1LSB ,当输入为10001000时,其输出电压实际值的范围为 ;其中(10001000)B =( )10 。 5.设有一被测量温度的变化范围为10 0C ~800 0C ,要求分辨率为1 0C ,则应选用的A/D 转换器的分辨率至少为 位。 6.某8位输出的逐次比较型 A/D 转换器,若它使用的时钟频率为100KHz ,则该A/D 转换 器完成一次A/D 转换所需要的时间为 。 7.A/D 转换的过程可分为取样、保持、 及编码四步。 8.采样定理f s ≥2f imax 中的f imax 是指 。 9.计数式A/D 转换器中,若输出的数字信号为12位,时钟信号频率为4MHz ,则完成一次转换的最长时间是 ms ?如果希望最大转换时间小于100us ,那么时钟信号的频率应选用 HZ ? 10.一个8位D/A 转换器,若最小分辨电压VLSB=20mV ,当输入代码为10010111时,输出电压为 V ?该转换器的分辨率是

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

数字电子技术基本第三版第三章答案解析

第三章组合逻辑电路 第一节重点与难点 一、重点: 1.组合电路的基本概念 组合电路的信号特点、电路结构特点以及逻辑功能特点。 2.组合电路的分析与设计 组合电路分析是根据已知逻辑图说明电路实现的逻辑功能。 组合电路设计是根据给定设计要求及选用的器件进行设计,画出逻辑图。如果选用小规模集成电路SSI,设计方法比较规范且容易理解,用SSI设计是读者应掌握的最基本设计方法。由于设计电路由门电路组成,所以使用门的数量较多,集成度低。 若用中规模集成电路MSI进行设计,没有固定的规则,方法较灵活。 无论是用SSI或MSI设计电路,关键是将实际的设计要求转换为一个逻辑问题,即将文字描述的要求变成一个逻辑函数表达式。 3.常用中规模集成电路的应用 常用中规模集成电路有加法器、比较器、编码器、译码器、数据选择器和数据分配器等,重要的是理解外部引脚功能,能在电路设计时灵活应用。 4.竞争冒险现象 竞争冒险现象的产生原因、判断是否存在竞争冒险现象以及如何消除。 二、难点:

无论是用SSI还是用MSI设计电路,首先碰到的是如何将设计要求转换为逻辑问题,得到明确的真值表,这一步既是重点又是难点。总结解决这一难点的方法如下: (1)分析设计问题的因果关系,分别确定输入变量、输出变量的个数及其名称。 (2)定义逻辑变量0、1信号的含义。无论输入变量、输出变量均有两个状态0、1,这两个状态代表的含义由设计者自己定义。 (3)再根据设计问题的因果关系以及变量定义,列出真值表。 2.常用组合电路模块的灵活应用 同样的设计要求,用MSI设计完成后,所得的逻辑电路不仅与所选芯片有关,而且还与设计者对芯片的理解及灵活应用能力有关。读者可在下面的例题和习题中体会。 3.硬件描述语言VHDL的应用 VHDL的应用非常灵活,同一个电路问题可以有不同的描述方法,初学者可以先仔细阅读已有的程序实例,再自行设计。 三、考核题型与考核重点 1.概念与简答 题型1为填空、判断和选择; 题型2为叙述基本概念与特点。 建议分配的分数为3~6分。 2.综合分析与设计 题型1为根据已知电路分析逻辑功能; 题型2为根据给定的逻辑问题,设计出满足要求的逻辑电路。 建议分配的分数为6~12分。 第二节思考题题解

数字电路实验指导书

数字电路实验指导书 上海大学精密机械工程系2010年10月

目录 一、概述 二、实验一基本电路逻辑功能实验 三、实验二编码器实验 四、实验三寄存器实验 五、实验四译码器实验 六、实验五比较器实验 七、实验六加法器实验 八、实验七计数器实验 九、附录一数字电路实验基本知识 十、附录二常用实验器件引脚图 十一、附录三实验参考电路 十二、附录四信号定义方法与规则十三、附录五 DS2018实验平台介绍

前言 《数字电路A》课程是机电工程及自动化学院机械工程自动化专业和测控技术与仪器专业的学科基础必修课。课程介绍数字电路及控制系统的基本概念、基本原理和应用技术,使学生在数字电路方面具有一定的理论知识和实践应用能力。该课程是上海大学和上海市教委的重点课程建设项目和上海大学精品课程,课程教学内容和方式主要考虑了机械类专业对电类知识的需求特点,改变了电子专业类(如信息通信、电气自动化专业)这门课比较注重教授理论性和内部电路构成知识的方式,加强应用设计性实验,主要目的是让学生能在理论教学和实验中学会解决简单工程控制问题的基本方法和技巧,能够设计基本的实用逻辑电路。 本书是《数字电路A》的配套实验指导书,使用自行开发的控制系统设计实验箱,所有实验与课堂理论教学相结合,各实验之间相互关联,通过在实验箱上设计构建不同的数字电路功能模块,以验证理论教学中学到的各模块作用以及模块的实际设计方法。在所有功能模块设计结束后,可以将各模块连接在一起,配上输入输出装置,构成一个完整的工程控制系统。 为本课程配套的输入输出装置是颗粒糖果自动灌装控制和一维直线运动控制,颗粒糖果自动灌装系统的框图如下图所示: 颗粒糖果灌装系统框图 本套实验需要设计的功能模块包括:编码器、寄存器、译码器、比较器、加法器、计数器、光电编码器辩向处理电路、步进电机旋转控制环形分配电路等。

数字逻辑实验、知识点总结(精编文档).doc

【最新整理,下载后即可编辑】 数字逻辑实验报告、总结 专业班级:计算机科学与技术3班 学号:41112115 姓名:华葱 一、 实验目的 1. 熟悉电子集成实验箱的基本结构和基本操作 2. 通过实验进一步熟悉各种常用SSI 块和MSI 块的结构、 各管脚功能、工作原理连接方法 3. 通过实验进一步理解MSI 块的各输入使能、输出使能的 作用(存在的必要性) 4. 通过实验明确数字逻辑这门课程在计算机专业众多课 程中所处的位置,进一步明确学习计算机软硬件学习的 主线思路以及它们之间的关系学会正确学习硬件知识 的方法。 二、 实验器材 1. 集成电路实验箱 2. 导线若干 3. 14插脚、16插脚拓展板 4. 各种必要的SSI 块和MSI 块 三、 各次实验过程、内容简述 (一) 第一次实验:利用SSI 块中的门电路设计一个二进制一 位半加器 1. 实验原理:根据两个一位二进制数x 、y 相加的和与 进位的真值表,可得:和sum=x 异或y ,进位C out =x ×y 。相应电路: 2. 实验内容: a) 按电路图连接事物,检查连接无误后开启电源 b) 进行测试,令

y>={<0,0>,<0,1>,<1,0>,<1,1>},看输出位sum 和C out 的变化情况。 c) 如果输出位的变化情况与真值表所述的真值相 应,则达到实验目的。 (二) 第二次实验:全加器、74LS138译码器、74LS148编码器、 74LS85比较器的测试、使用,思考各个输入、输出使能 端的作用 1. 实验原理: a) 全加器 i. 实验原理: 在半加器的基础上除了要考虑当前两个二进制为相 加结果,还要考虑低位(前一位)对这一位的进位 问题。由于进位与当前位的运算关系仍然是和的关 系,所以新引入的低位进位端C in 应当与当前和sum 再取异或,而得到真正的和Sum ;而进位位C out 的 产生有三种情况:={<1,1,0>,<1,0,1>,<0,1,1>},也就是说当x 、y 、 C in 中当且仅当其中的两个数为1,另一个数为0的 时候C out =1,因此:C out =xy+xC in +yC in 得电路图(也 可以列出关于C in 的真值表,利用卡诺图求解C in 的 函数表达式): ii. >的8中 指,y ,C in x y C in Sum C out

数字电子技术基础--第一章练习题及参考答案

第一章数字电路基础 第一部分基础知识 一、选择题 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为。 A.8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 3.一位十六进制数可以用位二进制数来表示。 A.1 B.2 C.4 D. 16 4.十进制数25用8421BCD码表示为。 A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数(53.5)10等值的数或代码为。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.矩形脉冲信号的参数有。 A.周期 B.占空比 C.脉宽 D.扫描期 8.与八进制数(47.3)8等值的数为: A. (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 9.常用的B C D码有。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 10.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 二、判断题(正确打√,错误的打×) 1. 方波的占空比为0.5。() 2. 8421码1001比0001大。() 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。() 4.格雷码具有任何相邻码只有一位码元不同的特性。() 5.八进制数(18)8比十进制数(18)10小。() 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。()

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1) 二—十进制码(BCD码) 在这种编码中,用四位二进制数表示十进制数中的0~9十个数码。常用的编码有8421BCD 码、5421BCD码和余3码。 8421BCD码是由四位二进制数0000到1111十六种组合中前十种组合,即0000~1001来代表十进制数0~9十个数码,每位二进制码具有固定的权值8、4、2、1,称有权码。 余3码是由8421BCD码加3(0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数的简化。 二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路呢?通常的步骤如下:

数字电路实验大纲

数字电路实验课程教学大纲 一、课程的基本信息 适应对象:电子科学与技术电子信息工程通信工程 课程代码:AAD00813 学时分配:16 赋予学分:1 先修课程:电路分析低频电子线路 后续课程:信号系统单片机原理与接口技术 二、课程性质与任务 数字电路实验为专业基础实验,面向电子信息工程、电子科学与技术、通信工程专业开设的独立设置的实验课程及课内实验。通过本课程的学习使学生进一步掌握常用仪器的使用,并掌握数字电路基本知识、常用芯片的功能及参数以及中、大规模器件的应用,掌握组合逻辑电路和时序逻辑电路的设计方法。同时通过学习,可以培养学生独立思考、独立解决问题的能力,加强动手能力的培养,使学生掌握数字电路的设计方法。 三、教学目的与要求 本课程是一门集理论与实践与一体的课程。学生通过本课程的学习,能够掌握各种基本逻辑门电路的结构和功能;掌握各种组合逻辑电路的分析和设计方法;熟悉常用的触发器,并会对常用的时序电路进行分析;对较复杂的数字系统的分析方法能有所了解;掌握各种电子电路和系统的测试方法和技能。 四、教学内容与安排 实验项目设置与内容提要

虚拟实验项目设置与内容提要 五、教学设备和设施 DZX-1 电子学综合实验装置示波器数字电路虚拟实验系统 六、课程考核与评估 实验成绩由虚拟实验成绩、平时实验成绩和考核成绩组成,虚拟实验成绩占20%,平时实验成绩占50%,考核成绩占30%。平时实验成绩由实验操作成绩和实验报告成绩组成,实验操作成绩占平时实验成绩的70%;实验报告成绩占平时实验成绩的30%。实验操作主要考察学生对实验电路的设计难易程度、电路连接调试、问题解决的能力,是否能够达到设计要求;实验报告主要考察学生对实验涉及的理论知识的掌握,对实验得到的结论和现象是否能够正确理解和分析,并能够合理的解释实验中出现的问题,正确判断实验的成功、失败。

数字电路基础教案

第7章数字电路基础 【课题】 7.1 概述 【教学目的】 1.让学生了解数字电子技术对于认知数码世界的重要现实意义,培养学生学习该科目的浓厚兴趣。 2.明确该科目的学习重点和学习方法。 【教学重点】 1.电信号的种类和各自的特点。 2.数字信号的表示方法。 3.脉冲波形主要参数的含义及常见脉冲波形。 4.数字电路的特点和优越性。 【教学难点】 数字信号在日常生活中的应用。 【教学方法】 讲授法,讨论法 【参考教学课时】 1课时 【教学过程】 一、新授内容 7.1.1 数字信号与模拟信号 1. 模拟信号:在时间和数值上是连续变化的信号称为模拟信号。 2. 数字信号:在时间和数值上是离散的信号称为数字信号。 讨论:请同学们列举几种常见的数字信号和模拟信号。 7.1.2 脉冲信号及其参数 1. 脉冲信号的定义:在瞬间突然变化、作用时间极短的电压或电流信号。 2.脉冲的主要参数:脉冲幅值V m 、脉冲上升时间t r 、脉冲下降时间t f 、脉冲宽度t W 、脉冲周期T及占空比D。 7.1.3 数字电路的特点及应用 特点:1.电路结构简单,便于实现数字电路集成化。

2.抗干扰能力强,可靠性高。(例如手机) 3.数字电路实际上是一种逻辑运算电路,电路分析与设计方法简单、方便。 4.数字电路可以方便地保存、传输、处理数字信号。(例如计算机) 5.精度高、功能完备、智能化。(例如数字电视和数码照相机) 应用:数字电路在家电产品、测量仪器、通信设备、控制装置等领域得到广泛的应用,数字化的发展前景非常宽阔。 讨论:1.你用过哪些数字电路产品,请列出1~2个较为典型的例子,并就其中一个产品说明它的功能及优点和缺点。 二、课堂小结 1. 数字信号与模拟信号的概念 2. 脉冲信号及其参数 3. 数字电路的特点及应用 三、课堂思考 讨论:谈谈如何才能学好数字电路课程? 四、课后练习 P143思考与练习题:1、 2、3。 【课题】 7.2 常用数制与编码 【教学目的】 1.掌握二进制、十进制、十六进制数的表示方法及数制间的相互转换。 2.了解8421BCD码的表示形式。 【教学重点】 1.二进制、十六进制数的表示方法。 2.数字电路中为什么广泛采用二、十六进制数。 3.为什么要进行不同数制之间的转换。 4.进行二进制、十进制数、十六进制之间的相互转换。 5. 8421BCD码。 【教学难点】

数字电路第一章数字电路习题集和答案

第一章绪论练习题 一、选择题 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 2.以下代码中为恒权码的为。 码 B. 5421BCD码 C. 余三码 D. 格雷码 3.一位十六进制数可以用位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 4.十进制数25用8421BCD码表示为。 101 0101 C.100101 、 5.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数()10等值的数或代码为。 A.(0101 8421BCD B.16 C.2 D.8 7.矩形脉冲信号的参数有。 A.周期 B.占空比 C.脉宽 D.扫描期 8.与八进制数8等值的数为: A. 2 B.16 C. )16 D.2 9. 常用的B CD码有。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 ( 10.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强11.把B二进制数转换成十进制数为() A. 150 B. 96 C.82 D. 159 12.将4FBH转换为十进制数( ) A. 0B B. 0B C. 0 D. 13.将数转换为十六进制数为() B.

C. D. ! 14.将十进制数130转换为对应的八进制数: B. 82 C. 120 D. 230 15.分别用842lBCD码表示()2为() B. 98 C. 980 D. 120 二、判断题(正确打√,错误的打×) 1. 方波的占空比为。() 2. 8421码1001比0001大。() 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。()4.格雷码具有任何相邻码只有一位码元不同的特性。() 5.八进制数(18)8比十进制数(18)10小。() : 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。() 7.在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。() 8.占空比的公式为:q = t w / T,则周期T越大占空比q越小。() 9.十进制数(9)10比十六进制数(9)16小。() 10.当8421奇校验码在传送十进制数(8)10时,在校验位上出现了1时,表明在传送过程中出现了错误。() 三、填空题 1.描述脉冲波形的主要参数 有、、、、、、。 2.数字信号的特点是在上和上都是断续变化的,其高电平和低 电平常用和来表示。 3.分析数字电路的主要工具是,数字电路又称作。 4.在数字电路中,常用的计数制除十进制外,还有、 ) 、。 5.常用的BCD码有、、、等。常用的

数字电路入门基础-期末考题

一.填空 1.(11101.01)2 =( )10 =( )16 2.(10010101)8421BCD = ( )10 =( )余3码 (12)10 =( )格雷码 (143.375)10 = ( ) 2 = ( )16 3.( 110001 )补码= ( )原码 = ( ) 10 4.若两个2位二进制数A =A 1A 0和B =B 1B 0相等,则表明A 1⊕B 1= ;而A 0⊙B 0=________。 5.已知最小项ABC ,其对应同样编号的最大项是_7M A B C '''=++___。 6. 逻辑函数式为()B C D A B +?+?,由对偶定理和反演定理写出此函数对偶函数为( )、反函数为( )。 7.若逻辑函数)5,2,1(),,(∑= m C B A F ,则(,,)F A B C M =∏( ) 。 8.在TTL 的三态门、OC 门、与非门、异或门电路中,为实现“线与”逻辑功能应选 门;为实现总线系统,应选 门。 9.逻辑函数的两种标准形式是 和 。 10.目前我们所学的双极型集成门电路和单极型集成门电路的典型电路分别是 电路和 电路。 11.对8路数据进行选择传输,所用的数据选择器的地址最少 位。 12.设计一个365进制计数器最少需要 片74LS160。 13.JK 触发器的状态方程为* Q Q '=,则其驱动方程中J = ( ) ,K = ( )。 14.N 个触发器构成的扭环形计数器中,无效状态有 ( ) 个。 15.施密特触发器的主要用途是 、 、 。 16.施密特触发器有 个稳定状态,多谐振荡器有 个稳定状态。 17.在一个4位D/A 转换器中,若是权电阻型网络,则其电阻取值有____种;若是倒T 形网络,则其电阻取值有_________种。 18.具有双极性输出的三位D/A 转换器,其参考电压源为-8V ,当输出电压为-3V 时,其输入的数字量为 。 19.已知被转换的信号的上限截止频率为10kHz ,则A/D 转换器的采样频率应高于 Hz ;完成一次转换所用的时间应小于 。 20.已知某4K ×4位的RAM 芯片,它有地址线 条,数据线 条。 21.某存储器共有6条地址线和8条双向数据线,则该存储器容量为____;若选择一个同容量的存储器,地址线及数据线总和最少为____条。 22.FPGA 的中文全称是 ,由于其编程数据是存放在 存储器中,一旦停电后这些编程数据便会丢失,所以每次开始工作时需要重新装载编程数据。 23.一片4KB 的ROM 能存储 个二值信息。 24.N 位D/A 的分辨率要达到千分之一以上,则N 至少应为 位。 25.完成一次A/D 转换一般要经过 、保持、 和 的过程。

数字电子技术基础课程教学大纲简介

数字电子技术基础课程教学大纲 英文名称:Digital Electronic Technology Fundamentals 课程编码:04119630 学时:64/12学分:4 课程性质:专业基础课课程类别:理论课 先修课程:高等数学、普通物理、电路理论、模拟电子技术基础 开课学期:第4学期 适用专业:自动化、电气工程及其自动化、工业自动化仪表 一、课程教学目标 通过本课程的理论教学和实验训练,能够运用数字电子技术的基本概念、基本理论与分析方法和设计方法,解决较复杂的数字电路系统相关的工程问题,使学生具备下列能力: 1、使用逻辑代数解决逻辑问题; 1、正确使用数字集成电路; 1、分析和设计数字逻辑电路; 2、正确使用数字逻辑电路系统的辅助电路。 三、课程的基本内容 3.1 理论教学 1、数字逻辑基础(支撑教学目标1) 教学目标:使学生掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式。了解二进制的算术运算与逻辑运算的不同之处。掌握逻辑函数的四种表示方法(真值表法、逻辑式法、卡诺图法及逻辑图法)及其相互之间的转换。理解最小项的概念及其在逻辑函数表示中的应用。掌握逻辑函数的公式化简法和图形化简法。掌握约束项的概念及其在逻辑函数化简中的应用。

本章主要内容: (1)数字信号与数字电路 (2)逻辑代数 (3)逻辑函数及其表示方法 (4)逻辑函数的化简 2、逻辑门电路(支撑教学目标2) 教学目标:使学生了解门电路的定义及分类方法。二极管、三极管的开关特性,及分立元件组成的与、或、非门的工作原理。理解TTL 反相器的工作原理,掌握其静态特性,了解动态特性。了解其它类型TTL门的工作原理及TTL集成门的系列分类。 本章主要内容: (1)半导体二极管门电路 (2)半导体三极管门电路 (3)TTL集成门电路 3、组合逻辑电路(支撑教学目标3) 教学目标:使学生掌握组合逻辑电路的设计与分析方法。理解常用组合逻辑电路,即编码器、译码器和数据选择器的基本概念、工作原理及应用。掌握译码器和数据选择器在组合电路设计中的应用。 本章主要内容: (1)概述 (2)组合逻辑电路的分析与设计 (3)常用组合逻辑电路 (4)用中规模集成电路设计组合逻辑电路 4、触发器(支撑教学目标3) 教学目标:使学生理解触发器的定义。掌握基本SR触发器、同步触发器、主从触发器、边沿 触发的触发器的动作特点。掌握触发器的各种逻辑功能(DFF,JKFF,SRFF,TFF,T’FF)。掌握触发器 逻辑功能与触发方式的区别。掌握画触发器工作波形的方法。 本章主要内容: (1)概述 (2)基本SR触发器(SR锁存器)和同步触发器(电平触发) (3)主从触发器(脉冲触发)和边沿触发器(边沿触发) (4)触发器的逻辑功能及描述方法 5、时序逻辑电路(支撑教学目标3) 教学目标:使学生掌握时序逻辑电路的定义及同步时序电路的分析与设计方法。了解异步时序电路的概念。理解时序电路各方程组(输出方程组、驱动方程组、状态方程组),状态转换表、状态转换图及时序图在分析和设计时序电路中的重要作用。了解常用时序电路(计数器、移位寄存器)的组成及工作原理及其应用。 本章主要内容: (1)时序电路的基本概念

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

电子技术基础(第一部分)——第十章 数字电路基础

第十章数字电路基础 【本章逻辑结构】 【本章重点内容】 1.数制及转换相关知识 2.基本门电路及功能 3.逻辑函数的公式化简法。 【本章考试要点】 第一节数字电路概述 1.数字电路的特点: (1)电路结构简单,稳定可靠。 (2)信号传输采用高低电平二值信号,抗干扰能力强。 (3)可完成数值运算和逻辑运算,双称逻辑电路。 (4)数字电路的元器件处于开关状态,功耗小。 2.脉冲信号 (1)持续时间极短的电压或电流信号叫脉冲信号。 (2)常见的脉冲波形有:矩形波、锯齿波、尖脉冲、阶梯波等。 (3)脉冲的主要参数有:脉冲幅值Vm、脉冲上升时间tr、脉冲下降时间tf、脉冲宽度tw、脉冲周期T。 3.数字信号 (1)由1和0来表示脉冲的出现和消失,一串脉冲就表示为一串1和0组成的数码,这种信号称为数字信号。 (2)正逻辑:1代表高电平,0代表低电平。 (3)负逻辑:1代表低电平,0代表高电平。 第二节RC电路的应用 1.RC微分电路 (1)微分电路能将矩形波转换成尖顶波,为触发器、计数器、开关电路提供触发信号。 (2)RC电路成为微分电路的条件:电路时间常数应远远大于输入脉冲宽度(至少

1/5),即τ=RC ,τ《w t 通常τ<1/5w t 时可以认为满足条件。 1. RC 积分电路 (1) 积分电路可以把矩形波转换成三角波,常用来作为数字电路中的定时元件,电视机 中用来从复合同步信号中取出场同步信号。 (2) RC 电路成为积分电路的条件:电路时间常数应远远大于输入脉冲宽度(至少3倍), 即τ=RC ,τ《w t 通常τ<1/5w t 时可以认为满足条件。 第三节 数制及码制 1. 数制 (1) 数制是计数的一种体制,常有的有十时制,而数字电路中常采用二进制(数码 有0、1)、八进制(数码有0~7)、十六进制(数码有0~1、A 、B 、C 、D 、E 、F )。 (2) 二进制数、十六进制数转换成十进制数的方法:乘权相加法。 例:(1101.1)2=1×23+1×22+0+21+1×20+1×2-1 =(13.5)10 (3AE)16=3×162+10×101+14×160 =(942)10 (3)十进制数转换成二进制数的方法:除以2取余倒记法(先得到的余数作为最低位。) 例(19)10=(10011)2 (3) 二进制数和十六进制数的互化:任意四位二进制数都和一位十六进制数唯一相 对。(11010110101.1100101)2=(0110 1011 0101.1100 1010)=(6B5.CA)16 (7E6)16=(0111 1110 0110)2 第四节 逻辑门电路基础 1. 基本逻辑门 (1) 与逻辑门

数字电路实验(九个)

脉冲与数字电路实验

目录 实验一TTL数字集成电路使用、与非门参数测试实验二门电路 实验三组合逻辑电路 实验四译码器与编码器 实验五触发器 实验六计数器一 实验七计数器二 实验八多谐振荡电路 实验九综合实验 ·二十四进制计数电路 ·数字定时器 ·图形发生器 专题实习通用计时器安装于调试 附录1 常用数字集成电路外引线图 附录2 TTL集成电路分类、推荐工作条件

实验一TTL数字集成电路使用、与非门参数测试 一、实验目的 学习TTL数字集成电路使用方法,学会查阅引脚图。掌握参数测试方法 二、实验设备及器件 1.逻辑实验箱1台 2.万用表1只 3.四2输入与非门74LS00 1只 三、实验重点 54/74LS系列数字集成电路的认识及使用方法 四、数字集成电路概述 以晶体管的“导通”与“截止”表达的两种状态及高电平(H)低电平(L)并以“1” 或“0”表示二进制数。能对二进制数进行逻辑运算、转换、传输、存储的集成电路称为数字集成电路。按分类有TTL型、CMOS型。按功能分有逻辑门电路、组合集成电路、集成触发器、集成时序逻辑电路。 五、实验内容及步骤 1.74LS系列数字集成电路外引线图及使用方法(引线图以14脚集成电路为例) 1)外引线排列 双列直插式封装引脚识别。引脚对称排 列,正面朝上半圆凹槽向左,左下为第1脚, 按逆时针方向引脚序号依次递增。 2)电源供电 芯片以5V供电,电源正极连接标有Vcc 字符的引脚,负极连接标有GND字符的 引脚。电源额定值应准确。为了达到良好的 使用效果,电源范围应满足4.5V≤Vcc≤5.5V。TTL数字集成电路引脚识别 电源极性连接应正确。 3)重要使用规则 a.输出端不能直接连接电源正极或负极。 b.小规模(SSI)和中规模(MSI)芯片,在使用中发热严重应检查外围连线连接是否正确。 1A1B1Y2A2B2Y GND 4A 4B4Y 3A 3B3Y 1A 1B1Y 2A 2B2Y & A B Y & A B Y

数字电子技术基础第一章习题答案

第一章习题解答[题1.1]」【解】 (1) () 2=(97) 16 =(151) 10 (2)() = 16 ) 6(D=(109)10 (3)( 0. ) 2=(0.5F) 16 =(0.) 10 (4)(11. 001) 2=(3. 2) 16 =(3.125) 10 [题1. 2]将下列十六进制数化为等值的二进制数和等值的十进制数。 【解】 (1) (8C) 16=() 2 =(140) 10 (2) (3D.BE) 16= (.) 2 =(61. ) 10 (3)(8F.FF) 16=(.) 2 =( 143.) 10 (4)(10.00) 16=(10000.) 2 = (16. ) 10 [题1. 3][解] (17) 10=(10001) 2 =(11) 16 (127) 10 =() 2 =(7F) 16 (0.39) 10=(0.0110) 2 =(0.6) 16 (25.7) 10 =(11001.1011) 2 =(19.B) 16 [题1. 4] [解] (1) (+1011) 2 的原码和补码都是01011(最高位的0是符号位)。 (2) (+00110) 2 的原码和补码都是(最高位的0是符号位)。 (3) (-1101) 2 的原码是11101(最高位的1是符号位),补码是10011 (4) (-) 2 的原码是(最高位的1是符号位),补码是 [题1. 5] [解] (1)首先找出真值表中所有使函数值等于1的那些输人变量组合。 然后写出一组变量组合对应的一个乘积项,取值为1的在乘积项中写

为原变量,取值为0的在乘积项中写为反变量。最后,将这些乘积项相加,就得到所求的逻辑函数式。 (2)将输人变量取值的所有状态组合逐一代入逻辑函数式,求出相 应的函数值。然后把输入变量取值与函数值对应地列成表,就得到了函数的真值表。 (3)将逻辑图中每个逻辑图形符号所代表的逻辑运算式按信号传输方 向逐级写出,即可得到所求的逻辑函数式。 (4)用逻辑图形符号代替函数式中的所有逻辑运算符号,就可得到由 逻辑图形符号连接成的逻辑图了。 [题1. 6] [解] 表Pl. 6( a)对应的逻辑函数式为 表P1.6(b)对应的逻辑函数式为 [题1. 7] [解]

数字电子技术基础简明教程(第三版)作业第三章作业

第三章作业 【题3.5】分别用与非门设计能够实现下列功能的组合电路。 (1)四变量表决电路——输出与多数变量的状态一致。 解:输入信号用A、B、C、D表示,输出信号用Y表示,并且用卡诺图表示有关逻辑关系。 图1 =ABC+ABD+ACD+BCD= (2)四变量不一致电路--------四个变量状态不相同时输出为1,相同时输出为0。 图2 C

实现(1)(2)的电路图如下图所示 【题3.8】设计一个组合电路,其输入是四位二进制数D=,要求能判断下列三种情况: (1)D中没有1. (2)D中有两个1. (3)D中有奇数个1. 解:表达式 (1)==+++ (2)如下图a所示。 (3)如下图b所示。

= =(+)(+)+(+)(+) =+++ 逻辑图如下图所示 【题3.10】用与非门分别设计能实现下列代码转换的组合电路: (1)将8421 BCD码转换为余3码。 (2)将8421 BCD码转换为2421码。

(3)将8421 BCD码转换为余3循环码。 (4)将余3码转换成为余3循环码。 解:=8421 BCD码=余3BCD码 =2421 BCD码 =余3循环码(1)卡诺图如下图所示 =++= =++= =+= = (2)卡诺图如下图所示 = =+=

= + = = (3) 卡诺图如下图所示 = + + + = = + + = = (4) 卡诺图如下图所示 = = + = = + = = + = 上述的逻辑电路图分别如下图1、2所示: = + + =

图1 图2 【题3.12】用集成二进制译码器74LS138和与非门构成全加器和全减器。解:(1

数字电路实验

数字电路实验 实验要求: 1.遵守实验室规则,注意人身和仪器设备的安全。 2.预习并按规范写好预习报告,否则不能参加实验。 3.进入实验室后保持安静,对号入座, 4.将预习报告置于实验桌右上角,待指导教师检查。 5.完成实验任务后,保持实验现场,报请老师验收。验收时需清楚简练地 向老师介绍实验情况、证明自己已完成了实验任务。 6.实验成绩由预习报告、实验效果与实验纪律、独立动手能力、实验报告 等综合决定。 实验报告内容要求 1.实验名称、实验者姓名、实验时间地点和指导教师等。 2.实验目的与要求。 3.实验用仪器仪表的名称和型号。 4.实验电路和测试电路。包括实验所用的器件品种、数目和参数。 5.实验内容、步骤,在这部分内容中,应用简明的语言或提纲给出实验的具体内容,步骤、记录实验中的原始数据,绘制出根据观察到的波形整理出的图表、曲线,反映在实验中遇到的问题及处理的经过。如对原实验方案进行了调整,则应写出调整方案的理由和调整情况。 6.实验结果及分析。实验结果是对实验所得的原始数据进行分析计算后得 出的结论。可以用数值或曲线表达,实验结果应满足实验任务的要求。 7.实验小结。总结实验完成的情况,对实验方案和实验结果进行讨论,对 实验中遇到的问题进行分析,简单叙述实验的收获、体会等。 8.参考资料。记录实验进行前、后阅读的有关资料,为今后查阅提供方便。

实验一TTL 与非门参数测试及使用 一、实验目的 1、学习 TTL 和 CMOS 门电路的逻辑功能测试方法,加深认识TTL与CMOS门电路的电平 差异。 2、通过测试TTL 与非门的电压传输特性,进一步理解门电路的重要参数及其意义(包 括 U OL、 U OH、 U ON、 U OFF、 U TH、 U NL、 U NH)。 3、了解一般的集成门电路器件的常用封装形式和引脚排列规律,掌握使用方法。 4、熟悉数字实验箱的结构和使用方法。 二、预习要求 1、 TTL 与 CMOS 门电路的逻辑功能及闲置输入端的处置方法。 2、电压传输特性曲线及其所表征的主要参数的意义。 3、设计实验数据纪录表格 三、实验内容 1、测试 TTL 与非门 74LS00 和 CM0S 或非门 CC4001 逻辑功能。 (1)识别 72LS00 和 CC4001 的封装及引脚排列。 (2)正确连接测试电路,特别注意直流工作电压的大小和极性。 ( 3)测试它们的真值表,要求纪录输入高低电平(U IL、 U IH)和输出高低电平(U OL、 U OH ) 。 ( 4)实验TTL和CMOS门电路的输入端悬空对门电路输出的影响。 2、测试 TTL 与非门电压传输特性。 (1)正确连接测试电路,特别注意实心电位器的连接,连接错误易损坏电位器。 (2)注意在特性曲线的转折处应适当增加测量点。 (3)正确读取数据并纪录。 四、实验报告 1、书写格式要规范,书写认真、字迹清晰。 2、实验报告内容要齐全 3、测试的原始数据要真实,不能随意修改原始数据。 4、绘制 TTL 门的传输特性曲线,并根据曲线标出U ON、U OFF、 U TH及 U NL、 U NH。 5、实验结果分析与小结 实验二组合逻辑电路设计 一、实验目的 1、学习用小规模集成电路设计组合逻辑电路的方法,进一步掌握组合逻辑电路的 分析和设计方法。 2、学习用中规模集成电路实现组合逻辑函数的方法 3、学习数字电路实验中查找电路故障的一般方法。 二、预习要求 1、组合逻辑电路分析、设计的一般方法。 2、用译码器和数据选择器实现组合逻辑函数的方法。 3、画出用译码器74LS138 实现半加器的电路图。 三、实验内容 1、用与非门实现半加器。

相关文档
最新文档