模拟乘法器混频

模拟乘法器混频
模拟乘法器混频

实验四模拟乘法混频

一、实验目的

1.了解集成混频器的工作原理

2.了解混频器中的寄生干扰

二、实验内容

1.研究平衡混频器的频率变换过程

2.研究平衡混频器输出中频电压V i与输入本振电压的关系

3.研究平衡混频器输出中频电压V i与输入信号电压的关系

4.研究镜象干扰。

三、实验原理及实验电路说明

在高频电子电路中,常常需要将信号自某一频率变成另一个频率。这样不仅能满足各种无线电设备的需要,而且有利于提高设备的性能。对信号进行变频,是将信号的各分量移至新的频域,各分量的频率间隔和相对幅度保持不变。进行这种频率变换时,新频率等于信号原来的频率与某一参考频率之和或差。该参考频率通常称为本机振荡频率。本机振荡频率可以是由单独的信号源供给,也可以由频率变换电路内部产生。当本机振荡由单独的信号源供给时,这样的频率变换电路称为混频器。

混频器常用的非线性器件有二极管、三极管、场效应管和乘法器。本振用于产生一个等幅的高频信号V L,并与输入信号V S经混频器后所产生的差频信号经带通滤波器滤出。

本实验采用集成模拟相乘器作混频电路实验。

因为模拟相乘器的输出频率包含有两个输入频率之差或和,故模拟相乘器加滤波器,滤波器滤除不需要的分量,取和频或者差频二者之一,即构成混频器。

图4-1所示为相乘混频器的方框图。设滤波器滤除和频,则输出差频信号。图4-2为信号经混频前后的频谱图。我们设信号是:载波频率为S f 的普通调幅波。本机振荡频率为L f 。

设输入信号为t V v S S S ωcos =,本机振荡信号为t V v L L L ωcos = 由相乘混频的框图可得输出电压

t V t

V V K K v S L S L S L M F )cos()cos(2

1

00ωωωω-=-=

式中 S L M F V V K K v 2

1

0=

定义混频增益M A 为中频电压幅度0V 与高频电压S V 之比,就有

L M F S M V K K V V A 2

1

0==

图4-3为模拟乘法器混频电路,该电路由集成模拟乘法器MC1496完成。

R7图4-3 MC1496构成的混频电路

MC1496可以采用单电源供电,也可采用双电源供电。本实验电路中采用+12V ,-8V 供电。R 12(820Ω)、R 13(820Ω)组成平衡电路,F 2为4.5MHz 选频回路。本实验中输入信号频率为S f =4.2MHz ,本振频率L f =8.7MHz 。

为了实现混频功能,混频器件必须工作在非线性状态,而作用在混频器上的除了输入信号电压V S 和本振电压V L 外,不可避免地还存在干扰和噪声。它们之间任意两者都有可能产生组合频率,这些组合信号频率如果等于或接近中频,将与输入信号一起通过中频放大器、解调器,对输出级产生干涉,影响输入信号的接收。

干扰是由于混频器不满足线性时变工作条件而形成的,因此不可避免地会产生干扰,其中影响最大的是中频干扰和镜象干扰。

四、实验步骤

1. 打开本实验单元的电源开关,观察对应的发光二极管是否点亮,熟悉电路各部分元件的作用。

2. 用实验箱的信号源做本振信号,将频率L f =8.7MHz (幅度V LP-P =300mV 左右)的本振信号从J8处输入(本振输入处),在相乘混频器的输出端J9处观察输出中频信号波形。

3. 将频率S f =

4.19MHz (幅度V SP-P =300mV 左右)的高频信号(由3号板提供)从相乘混频器的输入端J7输入,用示波器观察J9处中频信号波形的变化。

4. 用示波器观察TH8和TH9处波形。

5. 改变高频信号电压幅度,用示波器观测,记录输出中频电压V i 的幅值,并填入

表4-1

6.改变本振信号电压幅度,用示波器观测,记录输出中频电压V i的幅值,并填入表

表4-2

7.用频率计测量混频前后波形的频率。

8.混频的综合观测(需外接信号源)

令高频信号发生器输出一个由1K音频信号调制的载波频率为4.2MHz的调幅波,作为本实验的载波输入,外接信号源输出8.7MHz的本振信号,用示波器对比观察J9处和调制信号的波形。

五、实验报告要求

1.整理实验数据,填写表格4-1和4-2。

2.绘制步骤2、3、4、9中所观测到的波形图,并作分析。

3.在幅频坐标中绘出本振频率与载波频率和镜象干扰频率之间的关系,思考如何减小镜像干扰。

4.归纳并总结信号混频的过程。

六、实验仪器

1.高频实验箱1台

2.双踪示波器1台

高频电子技术实验指导书

高频电子技术 实验指导书安阳工学院电子信息与电气工程学院

目录 实验一、小信号调谐放大器 -------------------------------------- 2 实验二、通频带展宽----------------------------------------------5 实验三、LC与晶体振荡器 ---------------------------------------- 8 实验四、幅度调制与解调---------------------------------------- 18 实验五、集成乘法器混频实验 ----------------------------------- 19实验六、变容二极管调频器与相位鉴频器-------------------------22

实验一、小信号调谐放大器 一、实验目的 1)、了解谐振回路的幅频特性分析——通频带与选择性。 2)、了解信号源内阻及负载对谐振回路的影响,并掌握频带的展宽。 3)、掌握放大器的动态范围及其测试方法。 二、实验预习要求 实验前,预习教材选频网络、高频小信号放大器相应章节。 三、实验原理说明 1、小信号调谐放大器基本原理 高频小信号放大器电路是构成无线电设备的主要电路,它的作用是放大 信道中的高频小信号。为使放大信号不失真,放大器必须工作在线性范围内,例如无线电接收机中的高放电路,都是典型的高频窄带小信号放大电路。窄带放大电路中,被放大信号的频带宽度小于或远小于它的中心频率。如在调幅接收机的中放电路中,带宽为9KHz,中心频率为465KHz,相对带宽Δf/f0约为百分之几。因此,高频小信号放大电路的基本类型是选频放大电路,选频放大电路以选频器作为线性放大器的负载,或作为放大器与负载之间的匹配器。它主要由放大器与选频回路两部分构成。用于放大的有源器件可以是半导体三极管,也可以是场效应管,电子管或者是集成运算放大器。用于调谐的选频器件可以是LC谐振回路,也可以是晶体滤波器,陶瓷滤波器,LC集中滤波器,声表面波滤波器等。本实验用三极管作为放大器件,LC谐振回路作为选频器。在分析时,主要用如下参数衡量电路的技术指标:中心频率、增益、噪声系数、灵敏度、通频带与选择性。 单调谐放大电路一般采用LC回路作为选频器的放大电路,它只有一个LC 回路,调谐在一个频率上,并通过变压器耦合输出,图1-1为该电路原理图。 中心频率为f0 带宽为Δf=f2-f1 图1-1. 单调谐放大电路 为了改善调谐电路的频率特性,通常采用双调谐放大电路,其电路如图12-2所示。双调谐放大电路是由两个彼此耦合的单调谐放大回路所组成。它们的谐振C Ec 1 f 0.707 02 1 u

实验三集成混频器研究通信电路与系统实验

实验三 集成混频器的实验研究 一、实验目的 1.了解集成乘积混频器的工作原理及典型电路。 2.了解本振电压幅度和模拟乘法器的偏置电流对混频增益的影响。 3.学习利用直流负反馈改善集成混频器动态工作范围的方法。 4.观察混频器寄生通道干扰现象。 二、实验原理 当本振电压u L 和信号电压u s 皆为小信号(U Lm <<26mV ,U sm <<26mV)时,模拟乘法器的输出电压可表示为[1][4] []t t U U kT q R I u s L s L sm Lm L o )cos()cos(42 0ωωωω++-?? ? ??≈ (2-15) 式中,R L 为负载电阻,I 0为恒流源电流。 当u L 为大信号、u s 为小信号(U Lm 约为100~200mV ,U sm <<26mV)时,模拟乘法器的输出电压是多谐波的,可表示为[1][4] []2 01sin 2cos()cos()22 L o Lm sm L s L s n n I R q u U U t t n kT πωωωωπ∞ =?? ? ??≈?-++ ? ??? ??? ∑ (2-16) 其中最低的一组频率分量(n=1)为 []2 00.637cos()cos()2L o Lm sm L s L s I R q u U U t t kT ωωωω?? ≈-++ ??? (2-17) 式中,相乘因子较Lm u 为小信号时增大。 由上述讨论可知,若模拟乘法器输出端接有带通滤波器,也就是说接有中频为)(S L I ωωω-=的滤波网络作为负载,可取出所需的差频分量来实现混频。 三、实验电路说明 集成混频器的实验电路如图2-7所示。图中,晶体管VT 1与电容C 1、C 2、C 3、C 4及 L 1构成改进型电容三点式振荡电路,作为本地振荡器。晶体管VT 2和VT 3分别构成两级射随器起缓冲隔离作用。本振电压u L 从P1端口馈入,信号电压u s 从P2端口馈入。中频滤波网络为L 2、C 13、C 14构成的并联回路。VT4为缓冲隔离级。 在图2-7所示实验电路中,中频回路调谐于2MHz ,模拟乘法器及其外接元件的作用与前一个实验中的情况相似,只是R w4代替了接在MC1496P 引脚2和引脚3之间的固定反馈电阻R E 。电位器R w5用来调节乘法器的偏置电流I 5。另外,图中的P4端口是由中频回路副方输出的中频电压u I 。 四、实验仪器及设备 1.直流稳压电源 SS3323型 1台 2.数字示波器 DSO-X2012A 型 1台 3.高频信号发生器 TFG6080型 1台 4.数字万用表 DT9202型 1块 5.实验电路板 1块

5模拟乘法混频

模拟乘法混频 一、实验目的 1. 进一步了解集成混频器的工作原理 2. 了解混频器中的寄生干扰 二、实验原理及实验电路说明 混频器的功能是将载波为vs (高频)的已调波信号不失真地变换为另一载频(固定中频)的已调波信号,而保持原调制规律不变。例如在调幅广播接收机中,混频器将中心频率为535~1605KHz 的已调波信号变换为中心频率为465KHz 的中频已调波信号。此外,混频器还广泛用于需要进行频率变换的电子系统及仪器中,如频率合成器、外差频率计等。 混频器的电路模型如图1所示。 图1 混频器电路模型 混频器常用的非线性器件有二极管、三极管、场效应管和乘法器。本振用于产生一个等幅的高频信号VL ,并与输入信号 VS 经混频器后所产生的差频信号经带通滤波器滤出。目前,高质量的通信接收机广泛采用二极管环形混频器和由双差分对管平衡调制器构成的混频器,而在一般接收机(例如广播收音机)中,为了简化电路,还是采用简单的三极管混频器。本实验采用集成模拟相乘器作混频电路实验。 图2为模拟乘法器混频电路,该电路由集成模拟乘法器MC1496完成。 V s V

+12 -12 J7J8 J9 C12104 C11104 C7104 C15104 C8104 R101K R11200 R12820 R13820 R71K R14100 R153.3K R163.3K R216.8K R20510 R171k F24.5M D28.2V C16104 TH6 TH7 TH8 TH9 TP5 SIG+ 1 G N A D J 2 G N A D J 3 SIG- 4 B I A S 5 OUT+6NC 7CAR+8 NC 9CAR- 10 NC 11OUT-12 NC 13V E E 14 U1 MC1496 图2 MC1496构成的混频电路 MC1496可以采用单电源供电,也可采用双电源供电。本实验电路中采用+12V ,-8V 供电。R12(820Ω)、R13(820Ω)组成平衡电路,F2为4.5MHz 选频回路。本实验中输入信号频率为 fs =4.2MHz ,本振频率fL =8.7MHz 。 为了实现混频功能,混频器件必须工作在非线性状态,而作用在混频器上的除了输入信号电压VS 和本振电压VL 外,不可避免地还存在干扰和噪声。它们之间任意两者都有可能产生组合频率,这些组合信号频率如果等于或接近中频,将与输入信号一起通过中频放大器、解调器,对输出级产生干涉,影响输入信号的接收。干扰是由于混频器不满足线性时变工作条件而形成的,因此干扰不可避免,其中影响最大的是中频干扰和镜象干扰。 三、 实验仪器与设备 高频电子线路综合实验箱; 高频信号发生器; 双踪示波器; 频率计。 四、实验步骤 1. 打开本实验单元的电源开关,观察对应的发光二极管是否点亮,熟悉电路各部分元件的作用。 2、用实验箱的信号源做本振信号,将频率L f =8.7MHz (幅度V LP-P =300mV

模拟乘法器MC1496 1596设计混频电路

班级: 姓名: 学号: 指导教师:林森 成绩: 电子与信息工程学院 信息与通信工程系

混频器的设计 1概述 在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频等调制与解调的过程均可视为两个信号相乘的过程,而集成模拟乘法器正是实现两个模拟量,电压或电流相乘的电子器件。采用集成模拟乘法器实现上述功能比用分立器件要简单得多,而且性能优越,因此集成模拟乘法器在无线通信、广播电视等方面应用较为广泛。 混频器在通信工程和无线电技术中,应用非常广泛,在调制系统中,输入的基带信号都要经过频率的转换变成高频已调信号。在解调过程中,接收的已调高频信号也要经过频率的转换,变成对应的中频信号。特别是在超外差式接收机中,混频器应用较为广泛,混频电路是应用电子技术和无线电专业必须掌握的关键电路。 本次设计主要内容是基于MC1496的混频器应用设计与仿真,阐述混频器基本原理,并在电路设计与Multisim仿真环境中创建集成电路乘法器MC1496电路模块,利用模拟乘法器MC1496完成各项电路的设计与仿真,并结合双踪示波器实现对信号的混频,对接收信号进行频率的转换,变成需要的中频信号。 1.1混频器原理 混频技术应用的相当广泛,混频器是超外差接收机中的关键部件。直放式接收机是高频小信号检波,工作频率变化范围大时,工作频率对高频通道的影响比较大(频率越高,放大量越低,反之频率低,增益高),而且对检波性能的影响也较大,灵敏度较低。采用超外差技术后,将接收信号混频到一固定中频,放大量基本不受接收频率的影响,这样,频段内信号的放大一致性好,灵敏度可以做得很高,选择性也较好。因为放大功能主要放在中放,

混频仿真

通信电子线路实验 实验名称:混频器仿真 混频器的作用是在保持已调信号的调制规律不变的前提下,使信号的载波频率升高(上变频)或下降(下变频)到另一个频率。 一、晶体管混频器电路仿真 本实验电路为AM调幅收音机的晶体管混频电路,它由晶体管、输入信号源V1、本振信号源V2、输出回路和馈电电路等组成,中频输出465KHz的AM波。 电路特点:(1)输入回路工作在输入信号的载波频率上,而输出回路则工作在中频频率(即LC选频回路的固有谐振频率fi)。(2)输入信号幅度很小,在在输入信号的动态范围内,晶体管近似为线性工作。(3)本振信号与基极偏压Eb共同构成时变工作点。由于晶体管工作在线性时变状态,存在随U L周期变化的时变跨导g m(t)。 工作原理:输入信号与时变跨导的乘积中包含有本振与输入载波的差频项,用带通滤波器取出该项,即获得混频输出。 在混频器中,变频跨导的大小与晶体管的静态工作点、本振信号的幅度有关,通常为了使混频器的变频跨导最大(进而使变频增益最大),总是将晶体管的工作点确定在:U L=50~200mV,I EQ=0.3~1mA,而且,此时对应混频器噪声系数最小。 1、直流工作点分析 使用仿真软件中的“直流工作点分析”,测试放大器的静态直流工作点。 注:“直流工作点分析”仿真时,要将V1去掉,否则得不到正确结果。因为V1与晶体管基极之间无隔直流回路,晶体管的基极工作点受V1影响。若在V1与Q1之间有隔直流电容,则仿真时可不考虑V1的存在。 2、混频器输出信号“傅里叶分析”

选取电路节点8作为输出端,对输出信号进行“傅里叶分析”,参数设置为: 基频5KHz,谐波数为120,采用终止时间为0.001S,线性纵坐标请对测试结果进行分析。在图中指出465KHz中频信号频谱点及其它谐波成分。 注:傅里叶分析参数选取原则:频谱横坐标有效范围=基频×谐波数,所以这里须进行简单估算,确定各参数取值。 分析:图中最高频谱点在465KHZ的中频信号成分,同时电路中还有较弱的其他谐波成分。 二、模拟乘法器混频电路 模拟乘法器能够实现两个信号相乘,在其输出中会出现混频所要求的差频(ωL-ωC),然后利用滤波器取出该频率分量,即完成混频。 与晶体管混频器相比,模拟乘法器混频的优点是:输出电流频谱较纯,可以减少接收系统的干扰;允许动态范围较大的信号输入,有利于减少交调、互调干扰。 1、混频输入输出波形测试 在仿真软件中构建如下模拟乘法器混频电路,启动仿真,观察示波器显示波形,分析实验结果。

模拟乘法器实验

3.12模拟乘法器 一.实验目的 1. 了解模拟乘法器的构成和工作原理。 2. 掌握模拟乘法器在运算电路中的运用。 二.实验原理 集成模拟乘法器是实现两个模拟信号相乘的器件,它广泛用于乘法、除法、乘方和开方等模拟运算,同时也广泛用于信息传输系统作为调幅、解调、混频、鉴相和自动增益控制电路,是一种通用性很强的非线性电子器件,目前已有多种形式、多品种的单片集成电路,同时它也是现代一些专用模拟集成系统中的重要单元。 1. 模拟乘法器的基本特性 模拟乘法器是一种完成两个模拟信号(连续变化的电压或电流)相乘作用的电子器件,通常具有两个输入端和一个输出端,电路符号如图3-12-1所示。 u x u y o 图3-12-1 模拟乘法器的电路符号 若输入信号为x u , y u ,则输出信号o u 为: o u =k y u x u 式中: k 为乘法器的增益系数或标尺因子,单位为V 1 . 根据两个输入电压的不同极性,乘法输出的极性有四种组合,用图3-12-2所示的工作象限来说明。 图 3-12-2 模拟乘法器的工作象限 若信号x u 、y u 均限定为某一极性的电压时才能正常工作,该乘法器称为单象限乘法器;若信号x u 、y u 中一个能适应正、负两种极性电压,而另一个只能适应单极性电压,则为二象限乘法器;若两个输入信号能适应四种极性组合,称为四象限乘法器。

2. 集成模拟乘法器 集成模拟乘法器的常见产品有BG314、F1595、F1596、MC1495、MC1496、LM1595、LM1596等。下面介绍BG314集成模拟乘法器。 (1) BG314内部结构如图3-12-3所示,外部电路如图3-12-4所示: 1 8 43 7 6 5142+ 9 121110 13 7 图3-12-3 BG314内部电路

实验13 调幅发射与接收完整系统的联调

实验13 调幅发射与接收完整系统的联调 13-1 无线电通信概述 一.无线电通信系统的组成 无线电通信的主要特点是利用电磁波的空间的传播来传递信息,例如将一个地方的语言消息传送到另一个地方。这个任务是由无线电发射设备、无线电接收设备和发射天线、接收天线等来完成的。这些设备和传播的空间,就构成了通常所说的无线电通信系统,图13-1是传送语言消息的无线电系统组成图。 图13-1 发射设备是无线电系统的重要组成部分,它是将电信号变换为适应于空间传播特性的信号的一种装置。它首先要产生频率较高并且具有一定功率的振荡。因为只有频率较高的振荡才能被天线有效地辐射,还需要有一定的功率才可能在空间建立一定强度的电磁场,并传播到较远的地方去。高频功率的产生通常是利用电子管或晶体管,把直流能量转换为高频能量,这是由高频振荡器和高频功率放大器完成的。 通常是经过转换设备如话筒就是最简单的转换设备,把消息转变成电的信号,这种电信号的频率都比较低,不适于直接从天线上辐射。因此,为了传递消息,就要使高频振荡的某一个参数随着上述电信号而变化,这个过程叫做调制。在无线电发射设备中,消息是“记载”在载波上而传送出去的。 接收设备的功能和发射设备相反,它是将经信道传播后接收到的信号恢复成与发送设备输入信号相一致的一种装置。 将接收天线架设在上述电磁波传播所能到达的地方,则通过电磁感应就会在接收天线上得到高频信号的感应电动势,它加到接收设备的输入端。由于接收天线同时处在其它电台所

辐射的电磁场中,因此接收设备的首要任务是从所有信号中选择出需要的信号,而抑制不需要的信号。接收设备另一个任务是将天线上接收到的微弱信号加以放大,放大到所需要的程度。接收设备的最后一个任务是把被放大的高频信号还原为原来的调制信号,例如通过扬声器(喇叭)或耳机还原成原来的声音信号(语言或音乐)。 二.发信机的组成 主振器幅度调制器中间放大器功率放大器 调制器 话筒 图13-2 图13-2画出了调幅发信机原理方框图,在这个图中,发信机由主振器、幅度调制器、中间放大器、功率放大器和调制器组成,电源部分在图上没有画出来。 主振器是用来产生最初的高频振荡,通常振荡功率是很小的,由于整个发信机的频率稳定度由它决定,因此要求它具有准确而稳定的频率。幅度调制器是用来产生调幅波,即将调制信号调制到高频振荡频率上。中间放大器的作用是将幅度调制器输出的功率,放大到功率放大器输入端所要求的大小,功率放大器是发信机最后一级,它的主要作用是在激励信号的频率上,产生足够大的功率送到天线上去,同时滤除不需要的频率(高次谐波),以免造成对其它电台的干扰。调制器实际上就是低频放大器,它的作用是将话音或低频信号放大,供给幅度调制器进行调制所需的电压和功率。 图上各处的信号波形反映了上述各部分的工作过程。 三.接收机的组成 无线电信号的接收过程与发射过程相反,为了提高灵敏度和选择性,无线电接收设备目

混频器实验

实验二混频器仿真实验 一.无源混频器仿真实验 二极管环形混频电路 载频是f L=1kHz,调制频率为f R=100Hz,因此混频后会出现f L f R f L- f R==900Hz ,f L+ f R=1100Hz,如图所示前两个峰值。由于二级管的开关作用,还会产生组合频率,不过幅度会随次数的增加而减小,如图所示后两个峰值。 二.有源混频器仿真实验 1.三极管单平衡混频电路 直流分析 傅里叶分析 差模输出将直流分量抵消,组合频率分量也被抵消了,本振不会馈通。但是由于射频信号是非平衡的,所以射频信号带入的直流分量与本振信号相乘后产生了较大幅值的本振频率分量,并且在频谱中还是会出现少量本振信号的奇次谐波与射频相混频的频率分量,单平衡混频电路有效地抑制了高频率分量,单节点输出存在低频分量过大的问题,但使用差分放大器的双点输出能够很好地解决这个缺陷。但与无源混频器相比,出现了大量的杂波。 2.加入有源滤波器后

混频后得到上下变频分量,通过一个带通滤波器,滤除上变频以及本振频率分量,只剩下下变频。 3.吉尔伯特单元混频电路 由于射频信号差分输入,因此在输出的时候射频直流分量被抵消,本振不会馈通。由于是双差分输入,频谱较为纯净。但是由于吉尔伯特电路也是通过本振大信号作为开断信号对输出信号采样,因此也产生了本振信号的奇次谐波的分量与射频信号相混频产生的组合频率分量。

加入有源滤波器后 本电路将作为接收机电路的前端。与单平衡电路的频谱比较起来更加纯净,无用的频率分量更少,幅值更小。 思考题: 1. 吉尔伯特电路是双平衡电路,而三极管是单平衡电路,它们的区别体现在射频信号是否是平衡的,吉尔 伯特电路射频信号是平衡的,射频信号中蕴含的直流分量在输出时被抵消,因此不会产生本振信号馈通。而三极管单平衡电路产生馈通和许多组合频率分量。 当频率增加后会更加明显,因为各个频点上的幅值都会降低,区别显得更加突出。 2.如图,该二阶带通有源滤波器的截止频率在1k 与1.4k 附近正好可以滤去不需要的分量。 二阶带通有源滤波器的BW : 要想BW 变为原来的80%。只能改变 。即 变为1.92 。R8变为76.8kohm 或R7变为40.625Kohm 。 或者比值保持1.92。 01 222F F f f R R BW f R R RC π????=-?=-? ? ? ? ???? ?F f R R F f R R

基于模拟乘法器MC1496的混频器设计

摘要 在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频等调制与解调的过程均可视为两个信号相乘的过程,而集成模拟乘法器正是实现两个模拟量,电压或电流相乘的电子器件。采用集成模拟乘法器实现上述功能比用分立器件要简单得多,而且性能优越,因此集成模拟乘法器在无线通信、广播电视等方面应用较为广泛。 混频器在通信工程和无线电技术中,应用非常广泛,在调制系统中,输入的基带信号都要经过频率的转换变成高频已调信号。在解调过程中,接收的已调高频信号也要经过频率的转换,变成对应的中频信号。特别是在超外差式接收机中,混频器应用较为广泛,混频电路是应用电子技术和无线电专业必须掌握的关键电路。 Multisim10是属于新一代的电子工作平台,是一种电子技术界广泛应用的优秀计算机仿真软件。 主要内容是基于MC1496的混频器应用设计与仿真,阐述混频器基本原理,并在电路设计与Multisim仿真环境中创建集成电路乘法器MC1496电路模块,利用模拟乘法器MC1496完成各项电路的设计与仿真,并结合双踪示波器实现对信号的混频,对接收信号进行频率的转换,变成需要的中频信号。 关键词:MC1496乘法器;混频器;Multisim

Abstract In high frequency electronic circuit course, amplitude modulation,synchronization demodulation, mixer, frequency, frequency modulation and demodulation are regarded as the process of the two signals are multiplied, and the integrated analog multiplier is the realization of two analog electronic device, a voltage or current multiplication. The integrated analog multiplier to achieve the above functions than discrete devices are much more simple, and superior performance, therefore the integrated analog multiplier is widely used in wireless communications, radio and television broadcasting. The mixer in communication engineering and radio technology,application is very extensive, in modulation system, the input of baseband signal are through frequency conversion into a high frequency modulated signal. In the demodulation process, the received modulated high frequency signal after frequency conversion, into intermediate frequency signals corresponding to. Especially in the superheterodyne receiver, mixer is widely used, mixing circuit is the key module of Applied Electronic Technology and professional radio must master. Multisim10 is a new generation of electronic platform belongs to, is an excellent computer widely used an electronic technology field simulation software. The main content is the mixer application design and simulation based on MC1496, expounds the basic principle of mixer, and the circuit design and Simulation in Multisim environment to create integrated circuit MC1496 multiplier circuit module, the analog multiplier MC1496 to complete the design and Simulation of the circuit, and combined with the dual trace oscilloscope to achieve signal mixing, the switching frequency of the received signal the intermediate frequency signal, a need. Key Words:MC1496 multiplier; mixer; Multisim

模拟乘法混频实验报告

模拟乘法混频实验报告 姓名: 学号: 班级: 日期:

模拟乘法混频 一、实验目的 1. 进一步了解集成混频器的工作原理 2. 了解混频器中的寄生干扰 二、实验原理及实验电路说明 混频器的功能是将载波为vs (高频)的已调波信号不失真地变换为另一载频(固定中频)的已调波信号,而保持原调制规律不变。例如在调幅广播接收机中,混频器将中心频率为535~1605KHz 的已调波信号变换为中心频率为465KHz 的中频已调波信号。此外,混频器还广泛用于需要进行频率变换的电子系统及仪器中,如频率合成器、外差频率计等。 混频器的电路模型如图1所示。 图1 混频器电路模型 混频器常用的非线性器件有二极管、三极管、场效应管和乘法器。本振用于产生一个等幅的高频信号VL ,并与输入信号 VS 经混频器后所产生的差频信号经带通滤波器滤出。目前,高质量的通信接收机广泛采用二极管环形混频器和由双差分对管平衡调制器构成的混频器,而在一般接收机(例如广播收音机)中,为了简化电路,还是采用简单的三极管混频器。本实验采用集成模拟相乘器作混频电路实验。 图2为模拟乘法器混频电路,该电路由集成模拟乘法器MC1496完成。 V s V

+12 -12 J7J8 J9 C12104 C11104 C7104 C15104 C8104 R101K R11200 R12820 R13820 R71K R14100 R153.3K R163.3K R216.8K R20510 R171k F24.5M D28.2V C16104 TH6 TH7 TH8 TH9 TP5 SIG+ 1 G N A D J 2 G N A D J 3 SIG- 4 B I A S 5 OUT+6NC 7CAR+8 NC 9CAR- 10 NC 11OUT-12 NC 13V E E 14 U1 MC1496 图2 MC1496构成的混频电路 MC1496可以采用单电源供电,也可采用双电源供电。本实验电路中采用+12V ,-8V 供电。R12(820Ω)、R13(820Ω)组成平衡电路,F2为4.5MHz 选频回路。本实验中输入信号频率为 fs =4.2MHz ,本振频率fL =8.7MHz 。 为了实现混频功能,混频器件必须工作在非线性状态,而作用在混频器上的除了输入信号电压VS 和本振电压VL 外,不可避免地还存在干扰和噪声。它们之间任意两者都有可能产生组合频率,这些组合信号频率如果等于或接近中频,将与输入信号一起通过中频放大器、解调器,对输出级产生干涉,影响输入信号的接收。干扰是由于混频器不满足线性时变工作条件而形成的,因此干扰不可避免,其中影响最大的是中频干扰和镜象干扰。 三、 实验仪器与设备 高频电子线路综合实验箱; 高频信号发生器; 双踪示波器; 频率计。 四、实验步骤 1. 打开本实验单元的电源开关,观察对应的发光二极管是否点亮,熟悉电路各部分元件的作用。

混频器仿真实验报告

混频器实验(虚拟实验) 姓名:郭佩学号:04008307 (一)二极管环形混频电路 傅里叶分析 得到的频谱图为 分析:可以看出信号在900Hz和1100Hz有分量,与理论相符 (二)三极管单平衡混频电路 直流分析

傅里叶分析 一个节点的傅里叶分析的频谱图为 两个节点输出电压的差值的傅里叶分析的频谱图为:

分析:同样在1K的两侧有两个频率分量,900Hz和1100Hz 有源滤波器加入电路后 U IF的傅里叶分析的频谱图为: U out节点的傅里叶分析的频谱图为:

分析:加入滤波器后,会增加有2k和3k附近的频率分量 (三)吉尔伯特单元混频电路 直流分析 傅里叶分析 一个节点的输出电压的傅里叶分析的参数结果与相应变量的频谱图如下: 两个节点输出电压的差值的傅里叶分析的参数结果与相应变量的频谱图为:

分析:1k和3k两侧都有频率分量,有IP3失真 将有源滤波器加入电路 U IF的傅里叶分析的参数结果与相应变量的频谱图为: U out节点的傅里叶分析的参数结果与相应变量的频谱图为:

分析:有源滤波器Uout节点的傅里叶分析的频谱相对于Uif的傅里叶分析的频谱来说,其他频率分量的影响更小,而且Uout节点的输出下混频的频谱明显减小了。输出的电压幅度有一定程度的下降。 思考题: (1)比较在输入相同的本振信号与射频信号的情况下,三极管单平衡混频电路与吉尔伯特混频器两种混频器的仿真结果尤其是傅里叶分析结果的差异,分析其中的原因。若将本振信号都设为1MHz,射频频率设为200kHz,结果有何变化,分析原因。 答:没有改变信号频率时 三极管 吉尔伯特 吉尔伯特混频器没有1k、2k、3k处的频率分量,即没有本振信号的频率分量,只有混频后的频率分量。因为吉尔伯特混频器是双平衡对称电路结果,有差分平衡。 将本振信号频率和射频频率改变后:

模拟乘法器1496实验报告

实验课程名称:_高频电子线路

五.实验原理与电路设计仿真 1、集成模拟乘法器1496的内部结构 集成模拟乘法器是完成两个模拟量(电压或电流)相乘的电子器件。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分立器件如二极管和三极管要简单的多,而且性能优越。所以目前在无线通信、广播电视等方面应用较多。集成模拟乘法器的常见产品有BG314、F1595、F1596、MC1495、MC1496、LM1595、LM1596等。下面介绍MC1496集成模拟乘法器。 (1)MC1496的内部结构 MC1496 是目前常用的平衡调制/解调器。它的典型应用包括乘、除、平方、开方、倍频、调制、混频、检波、鉴相、鉴频、动态增益控制等。MC1496 的和内部电路与外部引脚图如图1(a)(b)所示。 (a)1496内部电路 (b)1496引脚图 图1 MC1496的内部电路及引脚图 它内部电路含有 8 个有源晶体管,引脚 8 与 10 接输入电压 VX、1与 4接另一输入电压VY,6 与12 接输出电压 VO。一个理想乘法器的输出为VO=KVXVY,而实际上输出存在着各种误差,其输出的关系为:VO=K(VX +VXOS)(VY+VYOS)+VZOX。为了得到好的精度,必须消除 VXOS、VYOS与 VZOX三项失调电压。引脚 2 与 3 之间需外接电阻,对差分放大器 T5与 T6产生交流负反馈,可调节乘法器的信号增益,扩展输入电压的线性动态范围。 各引脚功能如下: 1:SIG+ 信号输入正端 2: GADJ 增益调节端 3:GADJ 增益调节端 4: SIG- 信号输入负端 5:BIAS 偏置端 6: OUT+ 正电流输出端 7: NC 空脚 8: CAR+ 载波信号输入正端 9: NC 空脚 10: CAR- 载波信号输入负端11: NC 空脚 12: OUT- 负电流输出端 13: NC 空脚 14: V- 负电源 (2)Multisim建立MC1496电路模块 启动multisim11程序,Ctrl+N新建电路图文件,按照MC1496内部结构图,将元器件放到电子工作平台的电路窗口上,按住鼠标左键拖动,全部选中。被选择的电路部分由周围的方框标示,表示完成子电路的选择。为了能对子电路进行外部连接,需要对子电路添加输入/输出。单击Place / HB/SB Connecter 命令或使用Ctrl+I 快捷操作,屏幕上出现输入/输出符号,

实验步骤

实验1 电容三点式LC振荡器 一、仪器、模块: ●LC振荡器模块 ●双踪示波器 ●万用表 二、实验步骤 1、按下开关3K1接通电源 2、西勒振荡电路幅频特性的测量 1)示波器接3TP02,开关3K05拨至右侧 2)开关3K01、3K02、3K03、3K04分别控制3C06(10P)、3C07(50P)、3C08(100P)、3C09(200P)是否接入电路,开关往上拨为接通,往下拨为断开。 3)按照表3-1(a)电容的变化测出与电容相对应的振荡频率和输出电压(峰一峰值VP-P),并将测量结果记于表中。 表3-1(a) 3、克拉泼振荡电路幅频特性的测量 1)示波器接3TP02,开关3K05拨至左侧。 2)按照表3-1(b)电容的变化测出与电容相对应的振荡频率和输出电压(峰一峰值VP-P),并将测量结果记于表中。 表3-1(b)

4、波段覆盖系数的测量(计算) 测量方法:根据测量的幅频特性,以输出电压最大点的频率为基准,即为一边界频率,再找出输出电压下降至1/2处的频率,即为另一边界频率,再由公式求出K。 分别计算西勒振荡电路、克拉波振荡电路的k

实验2 集成乘法器混频器实验 一、仪器、模块: ●集成乘法器混频模块 ●LC振荡与射随放大模块 ●高频信号源 ●双踪示波器 二、实验步骤 1、中频频率的观察 1)信号发生器输出频率为8.8MHZ,幅度Vp-p约为1.5V的等幅波,作为本振信号连接到6P01 2)信号发生器输出频率为6.3MHZ,幅度Vp-p=0.4V的等幅信号,作为射频信号连接到6P02 3)填下表 F L=8.8MHZ Fs=6.3MHZ 4)改变高频信号源的频率,输出中频6TP04的波形如何变化?为什么? 输入6P01的信号不变。 改变输入到6P02的信号的频率,填下表

变频器实验报告

实验一变频器的面板操作与运行 一、实验目的和要求 1. 熟悉变频器的面板操作方法。 2. 熟练变频器的功能参数设置。 3. 熟练掌握变频器的正反转、点动、频率调节方法。 4.通过变频器操作面板对电动机的启动、正反转、点动、调速控制。 二、实验仪器和用具 西门子MM420变频器、小型三相异步电动机、电气控制柜、电工工具(1套)、连接导线若干等。 三、实验内容和步骤 1.按要求接线 系统接线如图2-1所示,检查电路正确无误后, 合上主电源开关Q S。 图2-1 变频调速系统电气图 2.参数设置 (1)设定P0010=30和P0970=1,按下P键,开始复位,复位过程大约3min,这样就可保证变频器的参数回复到工厂默认值。 (2)设置电动机参数,为了使电动机与变频器相匹配,需要设置电动机参数。电动机参数设置见表2-2。电动机参数设定完成后,设P0010=0,变频器当前处于准备状态,可正常运行。 表2-2 电动机参数设置

(3)设置面板操作控制参数,见表2-3。 3.变频器运行操作 (1)变频器启动:在变频器的前操作面板上按运行键,变频器将驱动电动机升速,并运行在由P1040所设定的20Hz频率对应的560r∕min的转速上。 (2)正反转及加减速运行:电动机的转速(运行频率)及旋转方向可直接通过按前操作面板上的键∕减少键(▲/▼)来改变。 (3)点动运行:按下变频器前操作面板上的点动键,则变频器驱动电动机升速,并运行在由P1058所设置的正向点动10Hz频率值上。当松开变频器前错做面板上的点动键,则变频器将驱动电动机降速至零。这时,如果按下一变频器前操作面板上的换向键,在重复上述的点动运行操作,电动机可在变频器的驱动下反向点动运行。 (4)电动机停车:在变频器的前操作面板上按停止键,则变频器将驱动电动机降速至零。 四、实验思考 1. 怎样利用变频器操作面板对电动机进行预定时间的启动和停止? 答:P0010=30,P0970=1,变频器恢复出厂设置; P701=0,屏蔽原来端子启动功能; P2800=1,使能内部功能自由块; P2802=1,使能内部定时器; P2849=1,连接定时器启动命令; P2850=1,设定延时时间(假设1s); P2851=1,定时器延时动作方式; P0840=2852.0,连接变频器启动命令。 2. 怎样设置变频器的最大和最小运行频率? 答:P0010=30;P0970=1,按下P键(约10秒),开始复位。 一般P1080=0;电动机运行的最低频率(HZ) P1082=50;电动机运行的最高频率(HZ)。

MIX 1

实验十二 集成乘法器混频实验 MIX1 一、实验目的 1.学习混频电路的概念和原理。 2.掌握用集成模拟乘法器构成混频电路的原理。 3.掌握集成模拟乘法器MC1496用于混频电路的方法以及对干涉的测定。 二、实验仪器与设备 1.THEX-1型实验平台、集成乘法器混频实验(MIX1)、LC 与晶体振荡(本振)实验(OSC ) 2.20MHz 双踪示波器、BT5频率扫频仪、万用表 三、实验原理 (一)混频器电路模型 混频器的功能是将载波为fs (高频)的已调波信号不失真地变换为另一载频f I (固定中频)的已调波信号,而保持原调制规律不变。例如在调幅广播接收机中,混频器将中心频率为535~1605KHz 的已调波信号变换为中心频率为465KHz 的中频已调波信号。此外,混频器还广泛用于需要进行频率变换的电子系统及仪器中,如频率合成器、外差频率计等。 混频器的电路模型如图12-1所示。 混频器常用的的非线性器件有二极管、三极管、场 效应管和乘法器。本振用于产生一个等幅的高频信号u L , 并与输入信号u S 经混频器后所产生的差频信号经带通滤 ωI =ωL -ωS 波器滤出。目前,高质量的通信接收机广泛采用二极管环 图12-1 混频器电路模型 形混频器和由双差分对管平衡调制器构成的混频器,而在一般接收机(例如广播收音机)中,为了简化电路,还是采用简单的三极管混频器。本实验采用集成模拟乘法器作混频电路实验。 为了实现混频功能,混频器件必须工作在非线性状态,而作用在混频器上的除了输入信号电压u S 和本振电压u L 外,不可避免地还存在干扰和噪声。它们之间任意两者都有可能产生组合频率,这些组合信号频率如果等于或接近中频,将与输入信号一起通过中频放大器、解调器,对输出级产生干涉,影响输入信号的接收。 干扰是由于混频不满足线性时变工作条件而形成的,因此不可避免地会产生干扰,其中影响最大的是中频干扰和镜象干扰。 (二)集成模拟乘法器的混频电路 ·? ???÷???¨ ??¨?÷ ± ?????÷u L u I u s

混频器仿真实验报告

混频器仿真实验报告 一.实验目的 (1)加深对混频理论方面的理解,提高用程序实现相关信号处理的能力; (2)掌握multisim实现混频器混频的方法和步骤; (3)掌握用muitisim实现混频的设计方法和过程,为以后的设计打下良好的基础。 二.实验原理以及实验电路原理图 (一).晶体管混频器电路仿真 本实验电路为AM调幅收音机的晶体管混频电路,它由晶体管、输入信号源V1、本振信号源V2、输出回路和馈电电路等组成,中频输出465KHz的AM波。 电路特点:(1)输入回路工作在输入信号的载波频率上,而输出回路则工作在中频频率(即LC选频回路的固有谐振频率fi)。(2)输入信号幅度很小,在在输入信号的动态范围内,晶体管近似为线性工作。(3)本振信号与基极偏压Eb共同构成时变工作点。由于晶体管工作在线性时变状态,存在随U L周期变化的时变跨导g m(t)。 工作原理:输入信号与时变跨导的乘积中包含有本振与输入载波的差频项,用带通滤波器取出该项,即获得混频输出。 在混频器中,变频跨导的大小与晶体管的静态工作点、本振信号的幅度有关,通常为了使混频器的变频跨导最大(进而使变频增益最大),总是将晶体管的工作点确定在:U L=50~200mV,I EQ=0.3~1mA,而且,此时对应混频器噪声系数最小。 (二).模拟乘法器混频电路 模拟乘法器能够实现两个信号相乘,在其输出中会出现混频所要求的差频(ωL-ωC),然后利用滤波器取出该频率分量,即完成混频。

与晶体管混频器相比,模拟乘法器混频的优点是:输出电流频谱较纯,可以减少接收系统的干扰;允许动态范围较大的信号输入,有利于减少交调、互调干扰。 三.实验内容及记录 (一).晶体管混频器电路仿真 1、直流工作点分析 使用仿真软件中的“直流工作点分析”,测试放大器的静态直流工作点。 注:“直流工作点分析”仿真时,要将V1去掉,否则得不到正确结果。因为V1与晶体管基极之间无隔直流回路,晶体管的基极工作点受V1影响。若在V1与Q1之间有隔直流电容,则仿真时可不考虑V1的存在。 2、混频器输出信号“傅里叶分析” 选取电路节点8作为输出端,对输出信号进行“傅里叶分析”,参数设置为: 基频5KHz,谐波数为120,采用终止时间为0.001S,线性纵坐标请对测试结果进行分析。在图中指出465KHz中频信号频谱点及其它谐波成分。 注:傅里叶分析参数选取原则:频谱横坐标有效范围=基频×谐波数,所以这里须进行简单估算,确定各参数取值。

通信电路实验报告书

通信电路实验报告书 第一部分 实验小组:第1组 姓名学号:08021135 郑超 指导教师:徐小平 完成日期:2011年4月4日

实验1 单调谐回路谐振放大器 —、实验准备 1.做本实验时应具备的知识点: ●放大器静态工作点 ●LC并联谐振回路 ●单调谐放大器幅频特性 2.做本实验时所用到的仪器: ●单调谐回路谐振放大器模块 ●双踪示波器 ●万用表 ●频率计 ●高频信号源 二、实验目的 1.熟悉电子元器件和高频电子线路实验系统; 2.掌握单调谐回路谐振放大器的基本工作原理; 3. 熟悉放大器静态工作点的测量方法; 4.熟悉放大器静态工作点和集电极负载对单调谐放大器幅频特性(包括电压增益、通频带、Q值)的影响; 5.掌握测量放大器幅频特性的方法。 三、实验内容 1.用万用表测量晶体管各点(对地)电压VB、VE、VC,并计算放大器静态工作点;2.用示波器测量单调谐放大器的幅频特性; 3.用示波器观察静态工作点对单调谐放大器幅频特性的影响; 4.用示波器观察集电极负载对单调谐放大器幅频特性的影响。 四、实验报告要求 1.对实验数据进行分析,说明静态工作点变化对单调谐放大器幅频特性的影响,并画出

相应的幅频特性。 2.对实验数据进行分析,说明集电极负载变化对单调谐放大器幅频特性的影响,并画出 相应的幅频特性。 3.总结由本实验所获得的体会。 五、实验结果记录及结论 记录:输入电压幅值:200mv 输出最大电压:1.44v 计算得出的放大倍数:7.2 调整1W01使基极直流电压为2.5v 5.4 5.5 5.6 5.7 5.8 5.9 6.0 6.1 6.2 6.3 6.4 6.5 6.6 6.7 6.8 6.9 7.0 7.1 输入信 号频率 f(MHZ) 输出电 365 400 461 523 602 715 824 1270 1445 1298 1100 930 775 660 584 519 460 361 压幅值 U(mv) 调整1W01使基极直流电压为1.5v 输入信 5.4 5.5 5.6 5.7 5.8 5.9 6.0 6.1 6.2 6.3 6.4 6.5 6.6 6.7 6.8 6.9 7.0 7.1 号频率 f(MHZ) 211 233 244 282 312 386 435 680 882 1220 1160 896 717 572 472 398 342 300 输出电 压幅值 U(mv) 调整1W01使基极直流电压为5v 5.4 5.5 5.6 5.7 5.8 5.9 6.0 6.1 6.2 6.3 6.4 6.5 6.6 6.7 6.8 6.9 7.0 7.1 输入信 号频率 f(MHZ) 输出电 596 666 778 880 1051 1222 1461 1601 1600 1420 1220 1070 917 786 720 650 593 545 压幅值 U(mv) 接通1R3时 5.4 5.5 5.6 5.7 5.8 5.9 6.0 6.1 6.2 6.3 6.4 6.5 6.6 6.7 6.8 6.9 7.0 7.1 输入信 号频率

相关文档
最新文档