hdl实验3

合集下载

HDLRS3(RS3)系列熔断器

HDLRS3(RS3)系列熔断器
尺寸见HDLRS0第四条外形及安装尺寸。 ≤50A
φ
≥50A
φ
B A B A
D
C
D C
5 订货须知
5.1 订货时必须指明: 熔断体应指产品型号、额定电流、数量。
5.2 订货示例: 如:HDLRS3-400/300A 100只,表示订型号为HDLRS3-400额定电流为300A的熔断体100只。
G-033
300,315,350,480,500,600 17.3 17.3 100 100
50
10,15,20,25,30,40,50
3.1
3.5
15
20
100
20,30,40,50,63,80,100
7.4 8
35
50
200 500
400
100,125,150,160,180,200 10.3 12
50
70
熔断体的额定电流(A) 熔断器的额定电流(A) 设计代号 "O"保护硅整流元件用;"3"保护可控硅元件用 半导体器件保护用熔断器 企业代号
3 主要参数及技术性能
额定 熔断器 熔断体
熔断体最大额定电流耗散功率(W)
电压 额定电流 额定电流
50%IN
100%IN
(V) (A)
(A)
HDLRS0 HDLRS3 HDLRS0 HDLRS3
150,200,250,300,350,400 18
20
90
92
Байду номын сангаас
600
300,315,350,480,500,600 40
43
110 115
1200
700,750,800,1000,1200

高密度脂蛋白与高密度脂蛋白胆固醇的区别

高密度脂蛋白与高密度脂蛋白胆固醇的区别

少冠心病的发生。一直以来很多研究 HDL 抗 与细胞表面结合,这个过程由识别 Apo A 的 抑制 LDL 氧化,抑制内皮炎症反应,促进内
冠心病均用 HDL-C 表示。因此就有这种现 象出现,有些研究显示升高 HDL-C 能够促
ATP 结合盒转运子 A1(ABCA 1) 及 B 族 I 型清 道夫受体(SR-BI)介导。诱导细胞内的游离
皮细胞一氧化氮生成,提高前列环素的生物 利用度以及抗血栓和促纤溶 [2] 等。有研究提
进抗冠心病的进展,有些研究则是得出升高 HDL-C 不能改善甚至促进冠心病的进展。
胆固醇向细胞表面转移,在 LCAT 的作用下 示,高密度脂蛋白对动脉壁有直接的保护作 酯化成胆固醇酯,后者向 HDL 核心部位转移, 用,并可使动脉粥样硬化斑块消退 [3]。
1 HDL 的结构组成
以使 LCAT 作用的活性部位能进一步接受游 4 升高 HDL-C 对冠心病的影响的研究
离胆固醇,从而导致细胞内多余胆固醇的外
HDL 是人体血浆脂蛋白的一种,主要有 胆固醇、磷脂和蛋白质组成,大多是在肝脏 和小肠合成,经肝脏进行代谢。HDL 中蛋白质、 磷脂及胆固醇分别占 50%、30% 和 20%[1]。 HDL 按密度和电泳不 同, 可 进 一 步 再 分 为 HDL2 和 HDL3 两个亚组分。HDL2 颗粒大于 HDL3, 而 其 密 度 则 小 于 HDL3。HDL 的 外 壳含有磷脂、游离胆固醇和载脂蛋白 (Apo)。 HDL 颗粒中还含有少量的 Apo C、Apo E 和 Apo F。 虽 然 HDL 中 Apo C 含 量 仅 占 其 蛋 白 质 的 1-5%, 但 血 液 中 一 半 的 Apo C 位 于 HDL 颗粒中。不过 HDL 颗粒中的 Apo C 含 量也是经常变化的,当乳糜微粒和极低密度 脂蛋白 (VLDL) 新分泌入血液循环时,Apo C 即从 HDL 颗粒上转移到这两种脂蛋白中;当 这两种脂蛋白发生脂溶时,Apo C 则又从其 残粒上回到 HDL 颗粒上。

低密度脂蛋白,欧米伽-3

低密度脂蛋白,欧米伽-3

低密度脂蛋白,欧米伽-3
低密度脂蛋白(LDL)是一种血浆脂蛋白,由载脂蛋白和三酰甘油组成。

它负责将胆固醇从肝脏运输到全身各处的细胞,是人体内胆固醇的主要运输方式。

低密度脂蛋白的水平与心血管疾病的风险相关,高水平的低密度脂蛋白被认为是心血管疾病的高风险因素。

欧米伽-3(Omega-3)是一类不饱和脂肪酸,包括二十碳五烯酸(EPA)和二十二碳六烯酸(DHA)等。

这些脂肪酸对人体健康有重要的益处,特别是对心血管系统、神经系统和免疫系统等方面。

欧米伽-3脂肪酸可以降低血液中的甘油三酯水平,抑制血小板聚集,预防心血管疾病和抗炎等作用。

低密度脂蛋白和欧米伽-3之间的关系是复杂的。

一方面,低密度脂蛋白水平过高会增加心血管疾病的风险,而欧米伽-3脂肪酸可以降低低密度脂蛋白水平。

另一方面,欧米伽-3脂肪酸可以增加高密度脂蛋白(HDL)水平,而高密度脂蛋白水平升高有助于降低心血管疾病的风险。

因此,保持适当的欧米伽-3脂肪酸摄入量和适度的低密度脂蛋白水平对于维护心血管健康非常重要。

总结来说,低密度脂蛋白和欧米伽-3是两个相关的健康指标和营养物质。

低密度脂蛋白是胆固醇的主要运输方式,其水平与心血管疾病风险相关;而欧米伽-3是一类不饱和脂肪酸,对人体健康有多方面的益处,可以影响低密度脂蛋白的水平。

保持适当的欧米伽-3摄入量和适度的低密度脂蛋白水平有助于维护心血管健康。

动脉粥样硬化患者载脂蛋白C3高表达

动脉粥样硬化患者载脂蛋白C3高表达

动脉粥样硬化患者载脂蛋白C3高表达俞娟;熊怡淞;王旭东;仲人前【摘要】目的检测载脂蛋白C3 (APOC3)在动脉粥样硬化患者血清中的表达变化.方法全自动生化分析仪检测并分组比较20例冠状动脉无狭窄的心脏病患者和195例冠状动脉有狭窄的心脏病患者外周血总APOC3及亚组APOC3(HDL-APOC3、TRL-APOC3)水平.结果冠状动脉狭窄的心脏病患者血清APOC3水平高于无狭窄的心脏病患者(P<0.05),冠心病(CHD)患者(至少1支冠状动脉直径狭窄≥50%)血清APOC3水平高于非CHD患者(P<0.05),APOC3各亚组分(HDL-APOC3、TRL-APOC3)在各组之间差异无显著意义,不同程度狭窄组患者之间APOC3水平无显著性差异(P>0.05).结论血清总APOC3浓度在冠脉狭窄患者中高表达,但与狭窄的程度无显著关系.APOC3可以作为CHD的潜在独立风险因子.%Objective To investigate the role of apolipoprotein C3 (APOC3) in the development of atherosclerosis.Methods Peripheral blood APOC3 was measured by fully-automatic biochemical analyzer and compared respectively in 20 heart disease patients with coronary artery without stenosis and 195 heart disease patients with narrow coronary artery.Results The level of serum APOC3 in heart disease patients with coronary artery stenosis was higher than the heart disease patients with coronary artery without stenosis(P<0.05),the level of serum APOC3 in Coronary artery heart disease (CHD) patients was higher than the non-CHD patients(P<0.05).There was no significant difference between the levels of APOC3 in patients with stenosis (P>0.05).Conclusion serum total APOC3 was increased in patients withcoronary artery stenosis,it has no significant differences with the degree of stenosis.APOC3 may be used as an independent risk factor for CHD.【期刊名称】《中国实验诊断学》【年(卷),期】2018(022)003【总页数】4页(P381-384)【关键词】动脉粥样硬化;载脂蛋白C3;冠心病;冠状动脉狭窄【作者】俞娟;熊怡淞;王旭东;仲人前【作者单位】南通大学附属医院检验科,江苏南通226001;成都军区总医院检验科,四川成都610083;南通大学附属医院检验科,江苏南通226001;第二军医大学附属长征医院实验诊断科全军临床免疫中心,上海200003【正文语种】中文【中图分类】R541.4动脉粥样硬化(AS)是一种多因素复杂性疾病,解剖及病理研究发现粥样斑块中聚集有大量的脂质、巨噬细胞、淋巴细胞及血小板,还伴有平滑肌细胞的增生等,但其发病机制尚未完全阐明。

数字逻辑设计实训报告(3篇)

数字逻辑设计实训报告(3篇)

第1篇一、实训目的本次数字逻辑设计实训旨在使学生掌握数字逻辑的基本概念、基本原理和基本方法,培养学生的逻辑思维能力和动手实践能力。

通过实训,使学生能够熟练运用数字逻辑设计工具,完成数字电路的设计与仿真,提高学生的实际工程应用能力。

二、实训内容1. 数字逻辑基本概念与原理(1)数字逻辑电路的基本组成数字逻辑电路由逻辑门、触发器、寄存器等基本单元组成。

逻辑门是实现基本逻辑运算的单元,触发器是存储信息的单元,寄存器是临时存储数据的单元。

(2)数字逻辑电路的分类数字逻辑电路主要分为组合逻辑电路和时序逻辑电路。

组合逻辑电路的输出仅与当前的输入有关,而时序逻辑电路的输出不仅与当前的输入有关,还与过去的输入有关。

(3)数字逻辑电路的表示方法数字逻辑电路可以用逻辑表达式、逻辑图、真值表等方法表示。

2. 数字逻辑设计工具(1)Verilog HDLVerilog HDL是一种硬件描述语言,可以用于描述数字逻辑电路的结构和行为。

通过Verilog HDL,可以方便地实现数字电路的设计与仿真。

(2)ModelSimModelSim是一款数字电路仿真软件,可以用于Verilog HDL代码的仿真。

通过ModelSim,可以验证设计的正确性,分析电路的性能。

3. 数字逻辑设计实例(1)设计一个4位加法器首先,分析4位加法器的功能,确定输入和输出。

输入为两个4位二进制数,输出为它们的和。

然后,根据组合逻辑电路的设计方法,设计加法器的逻辑电路。

最后,使用Verilog HDL语言描述加法器的功能,并在ModelSim中进行仿真。

(2)设计一个4位同步计数器首先,分析4位同步计数器的功能,确定输入和输出。

输入为时钟信号和复位信号,输出为4位二进制数。

然后,根据时序逻辑电路的设计方法,设计计数器的逻辑电路。

最后,使用Verilog HDL语言描述计数器的功能,并在ModelSim中进行仿真。

三、实训过程1. 理论学习在实训前,学生需要掌握数字逻辑的基本概念、基本原理和基本方法,熟悉数字逻辑设计工具的使用。

synopsys实验系列3数字电路仿真vcs

synopsys实验系列3数字电路仿真vcs

46
LOGO
▪ Virsim有三种基本的调试方式: 波形、结构和代码,多种方式协同调试时
能更容易找到错误。
47
LOGO
Post-processing(后台处理模式)
▪ 先用批处理方式产生需要的信号到 VCD+的文 件中
▪ 再分析这个 VCD+文件
48
LOGO
Post-processing(后台处理模式)
▪ VCS CLI(command line interface)
▪ VCS VirSim
▪ VCS DVE
19
LOGO
Invoke CLI
20
LOGO
Invoke CLI
21
LOGO
CLI的一些命令
22
LOGO
CLI下的简单示例
23
LOGO
CLI下的简单示例
24
LOGO
CLI下的简单示例
Data Pane
Menu bar
Tool bar
Source windo
Console Console table
Tcl Command-line Target Window
InterfaceData
ControlStatus
57
LOGO
Edit Menu
文本操作
标记
58
LOGO
View Menu
4
LOGO
Synopsys Complete SoC Verification Solution
5
LOGO
RTL级模拟仿真流程
6
LOGO
门级模拟仿真流程
7
LOGO
VCS

东莞华勤硬件面试题目(3篇)

第1篇东莞华勤硬件面试题目一、基础知识与理论(约1000字)1. 请简述数字信号处理的基本概念,并举例说明其在通信系统中的应用。

2. 解释什么是FFT(快速傅里叶变换),并说明其在信号处理中的作用。

3. 介绍数字通信系统中的调制和解调技术,并比较QAM、PSK和FM等调制方式的优缺点。

4. 请说明什么是误码率,并分析影响误码率的因素。

5. 解释什么是通信系统的信道编码,以及其作用。

6. 请简述移动通信系统中的多址技术,如FDMA、TDMA和CDMA。

7. 介绍无线通信系统中的干扰类型,如多径干扰、同频干扰等。

8. 请解释什么是无线通信系统中的功率控制,并说明其作用。

9. 介绍无线通信系统中的频谱分配策略,如FDMA、TDMA和CDMA。

10. 请简述蓝牙、Wi-Fi和5G等无线通信技术的特点和应用场景。

二、电路设计(约500字)1. 请简述电路设计的基本流程,包括需求分析、方案设计、电路仿真、PCB布局布线等。

2. 解释什么是电路仿真,并说明其在电路设计中的作用。

3. 请简述电路设计中的电源设计原则,如稳压、滤波、效率等。

4. 介绍电路设计中的信号完整性分析,如串扰、反射、串扰等。

5. 请说明电路设计中的EMC(电磁兼容性)设计原则,并举例说明。

6. 解释什么是PCB(印刷电路板)设计,并说明其在电路设计中的重要性。

7. 请简述电路设计中的热设计原则,如散热、热阻等。

8. 介绍电路设计中的电源完整性分析,如电源完整性、地完整性等。

9. 请说明电路设计中的电路仿真软件,如SPICE、Cadence等。

10. 介绍电路设计中的PCB设计软件,如Altium Designer、Eagle等。

三、硬件编程与调试(约1000字)1. 请简述嵌入式系统编程的基本概念,并说明其在硬件开发中的应用。

2. 解释什么是C语言,并说明其在嵌入式系统编程中的应用。

3. 请简述嵌入式系统编程中的中断处理,并举例说明。

4. 介绍嵌入式系统编程中的定时器编程,如硬件定时器、软件定时器等。

α—亚麻酸重要生理活性功效

α—亚麻酸重要生理活性功效随着研究的深入,α—亚麻酸与健康及疾病的关系,已引起了车内外学者瞩目和高度重视。

尽管α-亚麻酸资源少,数量少,能够摄取到的食环境也少,但它们的生理活性却是人体不可缺少的。

我国专家搜集国内外研究资料,进行了大量的基础研究,结果表明α—亚麻酸基本功效表现为:1、降低血脂:很多人都将实验中得出的α—亚麻酸具有降低血清总胆固醇(TC)、甘油三酯(TG)、低密度脂蛋白、极低密度脂蛋白及升高血清高密度脂蛋白的作用。

临床上给与204例高血脂患者服用α—亚麻酸进行观察的结果表明,高的血清甘油三脂患者服用后,血清TG值下降显著。

第五周时接近正常值,第10周呈继续降低趋势(P<0.01)。

高的总胆固醇(TC)血下降亦非常显著(P<0.01)α—亚麻酸降低血清胆因醇的机理,除增加胆固醇排泄外,抑制内源性胆固醇合成也很重要。

HMG-GOA还原酶和脂肪酰辅酶A胆固醇脂肪转移酶(ACAT)是胆固醇合成的主要限速酶。

Tield等发现摄入α—亚麻酸,能使家兔肝肉HMG-COA还原酶活性降低:同时使ACAT 活性升高。

肝微粒体内胆固醇减少;小回端2/3部位的HMG-COA还原酶活性亦见降低。

α—亚麻酸降低血清甘油三酯的机理,主要通过减少极低密度脂蛋白中的甘油三酯及载脂蛋白B的生物合成。

在降低血清低密度脂蛋白机理方面,α—亚麻酸主要抑制低密度脂蛋白的合成,DHA在升高高密度脂蛋白(HDL)方面起主要作用。

在HDL生成过程中,脂蛋白酶和卵磷脂胆固醇基转酶(LCAT)起重要作用。

DHA能增加蛋白脂酶和LCAT活性而促进HDL的合成。

同时α—亚麻酸还能抑制肝内皮细胞酯酶(HEL)的活性而抑制HDL的降解。

研究还表明,α—亚麻酸主要升高血中HDL2组分,而HDL3则基本不变。

2、降低高血压:把α—亚麻酸、ω-6第列红花油饮料分别给与高血压大鼠和普通血压大鼠。

观察其血压变化。

结果表明,α—亚麻酸抑制血压上升,其下降幅度是10%左右。

实验四 血清甘油三脂的测定


高胆固醇血症:血清TC水平增高
TC> 5.72mmol/L , TG <1.70mmol/L
混合型高脂血症:血清TC与TG水平均增高

TC > 5.72mmol/L ,TG >1.70mmol/L


高甘油三酯血症:血清TG水平增高,>1.70mmol/L
而TC含量正常,即TC < 5.72mmol/L
11.0mmol/L • ——(用生理盐水稀释后重新测定,测定结果乘于稀释
倍数)
六、【临床意义】
TG升高:
1、随年龄增长有上升趋势,体重超标者。 2、高脂血症、糖尿病、肾病综合症、动脉粥样硬化、
甲状腺功能减退等。 3、先天性脂蛋白脂酶缺陷,脂肪肝及其他肝病。
4、妊娠后期,糖原累积病。
TG降低:
甲亢、肾上腺皮质功能降低、肝功能严重低下等。
载脂蛋白
载脂蛋白 非极性 脂内核
胆固醇酯
甘油三酯
大致为球形颗粒,由两大部分组成,即疏水性的内核和亲水性的外壳。内核
由不同量的CE与TG组成,表层由载脂蛋白、PL及FC组成,FC及PL的极性基团向外
露在血浆中,载脂蛋白是兼性化合物,它的疏水部分掩蔽在脂蛋白中,而亲水部
分突出于脂蛋白颗粒的表面
6
高脂血症的分类?
五、【操作步骤】
(3)计算:
TG (mmol/L)
测定管吸光度(Au)
=
× 标准液浓度
标准管吸光度(As)
参考值:
1.13mmol/L
0.56~1.70mmol/L
六、【注意事项】
• 1.标本要求是餐后12-14h的空腹血液 • ——(血清TG易受饮食影响)。 • 2、标本存放4℃不宜超过3天 • ——(避免TG水解而释放出甘油)。 • 3、本法的线性关系上限为11.4mmol/L,若所测TG值超过

第05讲 Verilog-HDL语法——第3部分 数据类型和逻辑值

常用参数来声明运行时的常数。 可用字符串表示的任何地方,都可以用定义的参数来代替。 参数是本地的,其定义只在本模块内有效。
module md1(out, in1, in2); ….. parameter cycle=20, prop_del=3, setup=cycle/2-prop_del, p1=8, x_word=16’bx, file = “/user1/jmdong/design/mem_file.dat”; wire [p1:0] w1; //用参数来说明wire 的位宽 …. initial begin $open(file); ……. #20000 display(“%s”,file); $stop end …. endmodule
到net上。
net类的类型(线网)
在为不同工艺的基本元件建立库模型的时候,常常需要用
不同的连接类型来与之对应,使其行为与实际器件一致。
net类型 wire, tri supply1, supply0 wor, trior wand, triand trireg tri1, tri0 功 能 标准内部连接线(缺省) 电源(逻辑1)和地(逻辑0) 多驱动源 线或 多驱动源 线与 能保存电荷的net 无驱动为时上拉/下拉电阻
if (a) o1 = b; else o1 = 0; endmodule
常出的错误及相应的错误信息(error
message)
在过程块中对变量赋值时,忘了把它定义为寄存器类型
(reg)或已把它定义为连接类型了(wiห้องสมุดไป่ตู้e)。
信息: illegal reference to net <name>. 把实例的输出连接出去时,把它定义为寄存器类型了。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验名称: HDL语言 姓名: 学号:


线

实验报告
课程名称: HDL语言 指导老师: 余锋 成绩:______________
实验名称: 模拟实现内存空间 实验类型: 同组学生姓名:_____________
一、实验目的和要求(必填) 二、实验内容和原理(必填)
三、主要仪器设备(必填) 四、操作方法和实验步骤
五、实验数据记录和处理 六、实验结果与分析(必填)
七、讨论、心得

实验三.模拟实现内存空间
一.实验目的
模拟实现一个宽度为32,深度为256的内存空间,先向内存空间写一批数据,再读出这批数据,并比较数
据是否正确;
要求完成ram的实现代码和tb_ram的仿真测试代码;

二.实验仪器
计算机PC:ModelSim SE 6.5软件

三.实验内容
模拟实现一个宽度为32,深度为256的内存空间,先向内存空间写一批数据,再读出这批数据,并比
较数据是否正确;
要求完成ram的实现代码和tb_ram的仿真测试代码;
//ram.v
module ram(
input clk_i,
input rst_i,
input wr_en_i,
input rd_en_i,
input [7:0] addr_i,
inout [31:0] data_io
);

reg [31:0] bram[255:0];
//add implementation code here
endmodule
提供输入: clk_i 时钟信号,100MHz;
rst_i 复位信号,高电平复位;
wr_en_i 写使能,表示data_io的数据有效;
rd_en_i 读使能,表示要求读出内存中的数据;
addr_i 地址信号表示要访问的数据所在地址;
要求输出: data_io 当wr_en_i有效时,表示为写入到内存的数据,当rd_en_i有效时,表示要求
读出在addr_i的内存数据;

专业:电子信息技术及仪器
姓名:
学号:
日期: 2012.3.13
地点: 生仪楼202
实验名称: HDL语言 姓名: 学号:



线

四.实验步骤
实现一个宽度为32,深度为256的内存空间
实验代码

endmodule
//tb_top.v
module top_ram;

wire clk;
wire rst;
wire wr_en;
wire rd_en;
wire [7:0] addr;
wire [31:0] data;

ram inst_ram(
.clk_i(clk),
.rst_i(rst),
.wr_en_i(wr_en),
.rd_en_i(rd_en),
.addr_i(addr),
.data_io(data)
);

tb_ram inst_tb_ram(
.clk_o(clk),
.rst_o(rst),
.wr_en_o(wr_en),
.rd_en_o(rd_en),
.addr_o(addr),
.data_io(data)
);

endmodule
module ram(
input clk_i,
input rst_i,
input wr_en_i,
input rd_en_i,
input [7:0] addr_i,
inout [31:0] data_io);
实验名称: HDL语言 姓名: 学号:


线

reg [31:0] bram[255:0];
reg [31:0] rd_o;
reg t;

assign data_io = t ? rd_o : 32'hz;
integer i;

always @(posedge clk_i)
begin
if (rst_i)
begin
for(i=0;i<=255;i=i+1)
bram[i] <= 32'h0;
end
else if(wr_en_i)
bram[addr_i] <= data_io;
else if(rd_en_i)
rd_o <= bram[addr_i];
end

always @(posedge clk_i)
begin
if(rd_en_i)
t <= 1'h1;
else
t <= 1'h0;
end

endmodule
//tb_ram.v
module tb_ram(
output reg clk_o,
output reg rst_o,
output reg wr_en_o,
output reg rd_en_o,
output reg [7:0] addr_o,
inout [31:0] data_io
);
reg [31:0] data;
assign data_io = wr_en_o ? data : 32'hz;

initial begin
$monitor($time,"addr_o = %x, data_io = %x, wr_en_o = %x, rd_en_o = %x", addr_o, data_io,
wr_en_o, rd_en_o);
实验名称: HDL语言 姓名: 学号:


线

clk_o = 1'b0;
rst_o = 1'b1;
wr_en_o = 1'b0;
rd_en_o = 1'b0;
addr_o = 8'h0;
data = 32'h0;
#100;
rst_o = 1'b0;

write(8'h02,32'h23);
write(8'h03,32'h53);
write(8'h11,32'h10);

read(8'h02);
read(8'h03);
read(8'h11);

#100;
rst_o = 1'b1;
#100;
rst_o = 1'b0;
end

always
#5 clk_o = ~clk_o;

task write(
input [7:0] a_i,
input [31:0] b_i
);
begin
#100;
@(posedge clk_o);
addr_o = a_i;
data = b_i;
wr_en_o = 1'b1;
@(posedge clk_o);
wr_en_o = 1'b0;
end
endtask

task read(
实验名称: HDL语言 姓名: 学号:


线

input [7:0] addr
);
begin
#100;
@(posedge clk_o);
addr_o = addr;
rd_en_o = 1'b1;
@(posedge clk_o);
rd_en_o = 1'b0;
end
endtask

四、仿真结果:
实验名称: HDL语言 姓名: 学号:



线

五.实验小结
本次实验相对比较困难,用modelsim来模拟一个RAM,编程的时候几乎没什么想法,这是
和同学讨论来讨论去才想出头绪来,有了一个大致的方向。首先得明白几个控制信号的作用,当
他们分别为高电平作用时需要做出什么反映。

相关文档
最新文档