数字电子技术实验指导书2013

数字电子技术实验指导书2013
数字电子技术实验指导书2013

《数字电子技术》实验指导书

安阳工学院

电子信息与电气工程学院

实验要求

一、实验前必须充分预习,完成指定的预习任务。

二、用仪器和实验箱前必须了解其性能、操作方法及注意事项,在操作时应严格

遵守。

三、实验时接线要认真,相互仔细检查,确定无误才能接通电源。

四、实验时应注意观察,若发现有破坏性异常现象,例如元件冒烟、发烫或有异

味等,应立即关断电源,保持现场,报告指导老师。找出原因、排除故障,经指导老师同意后再继续做实验。

五、实验过程中需要改接线时,应关断电源后才能拆、接线,使用自锁紧插头时,

严禁用力拉线,拆线时,应手捏线端并旋转轻微向上用力拔起,以防线被拉断。

六、实验过程中要仔细观察实验现象,记录实验结果(数据、波形、现象)。所

记录的实验结果经指导老师审阅签字后再拆除实验线路。

七、实验结束后,必须关断电源、拔出电源插头,并将仪器、设备、工具、导线

等按规定整理。

八、实验后每个学生必须按要求独立完成实验报告。

数字电路实验箱使用说明

本实验箱可以完成数字电路课程实验,由实验板和保护箱组成。该实验箱的实验板采用独特的两用板工艺,正面贴膜,印有原理图及符号,反面为印制导线并焊有相应元器件,需要测量及观察的部分装有自锁紧式接插件,使用直观、可靠。

一、技术性能及配置

1、电源

输入 : AC220V 士 10 %。

输出 : DC 5V/1A 、 DC 1、25V ~ 15V/0、2A (两路) 有过载保护及自动恢复功能。

2、信号源

单脉冲:为消抖动脉冲,可同时输出正负两个脉冲,前后沿≤20ns ,脉冲宽度≤0、2μs ,脉冲幅值为 TTL 电平。

连续脉冲:两组,一组为 4 路固定频率的方波。其频率分别为 200KHZ 、100KHz、50KHz、25KHz 。另一组为: 1Hz~5KHz 连续可调方波,分二档由开关切换,两路输出均为 TTL 电平。

3、八组逻辑电平开关:可输出“O”、“1”电平。置于H时输出为+5V,置于L时输出为0。

4、八位电平显示:由红色 LED 及驱动电路组成。当政逻辑“1”电平送入时LED亮,反之不亮。

5、数码显示:由二位7段LED数码管及二一十进制译码器组成。

6、元件库:由开关、电位器、扬声器、二极管、阻容元件构成 , 其参数均在面扳上标明。

7、圆孔型双列直插式集成电路插座: 14脚10只,16只脚3只,20 脚 1 只。

二、电路原理

本实验箱有电源、信号源、电平指示、电平开关、数码管等部分组成。相应电路及器件在面板背面的印制电路板上。

三、使用方法

1、将标有220V的电源插入市电插座,接通开关,面板指示灯亮,表示实验箱电源正常工作。

2、连接线:实验箱面板上的插孔应使用专用的连接线,该连接线插头可叠插使用,顺时针向下旋转即锁紧,逆时针向下旋转即可松开。拔出时不要直接拉导线。

3、面板上IC插座均未接电源,实验时应按插入IC的引脚接好相应的电源线才能正常工作。

4、IC插入插座前应调整好双列引线间距,仔细对准插座后均匀压入,拔出时需用螺丝刀从旁边轻轻翘起。

5、实验时应先阅读实验指导书,在断开电源开关的状态下按实验线路接好连接线(实验中用到可调直流电源时,应在该电源调到实验值在接到实验电路中),检查无误后接通电源。

6、实验时要更改接线或元器件,应先关断电源开关,插错或多余的线要拔去,不能一端插在电路上,另一端悬空,防止碰到电路其他部分。

为保证实验顺利进行,要注意所有集成电路的使用规则,特别是对输入空端和多余电路单元要按规定接相应电平。

目录

实验一门电路逻辑功能测试 (1)

实验二组合逻辑电路 (5)

实验三译码器和数据选择器 (8)

实验四触发器 (11)

实验五时序逻辑电路 (14)

实验六集成计数器的应用 (16)

实验七多谐振荡器 (18)

实验八 555定时器的应用 (20)

附录 (22)

实验一门电路逻辑功能及测试

一、实验目的

1.掌握集成门电路的逻辑功能和主要参数的测试方法。

2.熟悉数字电路实验箱及示波器使用方法。

3.学会如何使用集成门电路。

二、实验仪器及材料

1.双踪示波器

2.器件 74LS00 二输入端四与非门 2片

74LS20 四输入端双与非门 1片

74HC86 二输入端四异或门 1片

74LS04 六反相器 1片

三、预习要求

1. 复习门电路的工作原理及相应逻辑表达式。

2.熟悉所用集成电路的引线位置及各引线用途。

3. 了解双踪示波器使用方法。

四、实验内容

实验前按学习机使用说明先检查学习机电源是否正常。然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电实验。实验中改动接线须先断开电源,接好线后再通电实验。

1. 测试门电路逻辑功能

(1)选用双四输入与非门74LS20一只,插入面包板,按图1.1接线:输入端(第1、2、4、5管脚)接电平开关,输出端(第6管脚)接电平显示发光二极管(注意:74LS20第7管脚接地,第14管脚接电源)。

(2)将电平开关按表1.l置位,分别测输出电压及逻辑状态。

表1.1

1245

6

图1.1 74LS20功能测试图

2. 异或门逻辑功能测试

(1) 选二输入四异或门电路74HC86, 按图1.2接线,输入端1、2、4、5接电平开关,输出端A 、B 、Y 接电平显示发光二级管。

U1A

接电平开关

1.2 74HC86连接图

(2) 将电平开关按表1.2置位,将结果填入表中。

表1.2

3.逻辑电路的逻辑关系

(1) 用74LS00,按图1.3接线,将输入输出逻辑关系分别填入表1.3中。 (2) 写出电路逻辑表达式。

B

Y

图1.3 74LS00连接图

表 1.3

4.用与非门组成其它门电路

(1) 用一片二输入端四与非门74LS00组成或非门 ()'Y A B =+。 (2) 画出电路图,测试其功能并填表1.4。

表1.4

5.平均传输延迟时间t pd的测试(选做)

用六反相器74LS04按图1.4接线,观察电路输出波形,并测量反相器的平均传输延迟时间。设各个门电路的平均传输延迟时间为t pd,用奇数个非门环形连在一起,电路会产生一定频率的自激振荡。如果用示波器测出输出波形的周期T,就可以间接地计算出门电路的平均传输延迟时间:t pd=T/(2n),式中n是连接成环形的门的个数。

123456981110

U1A U1B U1C U1D U1E

图1.4 奇数个非门连成振荡器

五、实验报告

1.按各步聚要求记录实验测得的数据、写表达式、画电路图。

2.回答问题:

(1) 怎样判断门电路逻辑功能是否正常?

(2) 与非门一个输入接连续脉冲,其余端什么状态时允许脉冲通过?什么状态时禁止脉冲通过?

(3) 异或门又称可控反相门,为什么?

实验二组合逻辑电路

一、实验目的

1.掌握组合逻辑电路的功能测试方法。

2.验证半加器和全加器的逻辑功能。

3.掌握组合逻辑电路的设计方法。

4.加深理解典型组合逻辑电路的工作原理。

二、实验仪器及材料

器件74LS00 二输入端四与非门3片

74HC86 二输入端四异或门1片

74LS54 四组输入与或非门1片

三、预习要求

1.预习组合逻辑电路的分析方法和设计方法。

2.预习用与非门和异或门构成的半加器、全加器的工作原理。

3.预习二进制数的运算。

四、实验内容

1.组合逻辑功能测试

(1)用两片74LS00组成图2.1所示的逻辑电路。

(2)A、B、C接开关电平,Y1、Y2接发光二极管电平显示。

(3)按表2.1要求,改变A、B、C的状态并填表,写出Y1、Y2表达式。

Y1

Y2

图2.1 74LS00组成的组合逻辑电路

表2.1

2. 半加器设计及功能测试

(1) 用与非门74LS00和异或门74HC86设计一个半加器。

(2)组装所设计的半加器电路,并验证其功能是否正确,填表2.2。 (3)写出输出与输入之间的逻辑表达式。

表2.2

3. 全加器设计及功能测试

(1) 用与非门74LS00和与或非门74LS54设计一个全加器。 (2)组装所设计的全加器电路,并验证其功能是否正确,填表2.

3。 (3)写出输出与输入之间的逻辑表达式。

表2.3

五、实验报告

1. 写出实验电路的设计过程,并按要求画出设计电路图。

2.记录所设计电路的实验结果,并与设计要求进行比较。

实验三 译码器和数据选择器

一、实验目的

1. 加深理解译码器和数据选择器的逻辑功能。

2. 掌握译码器和数据选择器的使用方法。 二、实验仪器及材料

1.双踪示波器

2.器件 74LS139 2—4线译码器 1片 74LS153 双4选1数据选择器 1片 74LS00 二输入端四与非门 1片 三、预习要求

1.熟悉74LS139和74LS153的功能及引脚排列。 2.根据实验相关内容,画出逻辑电路图。

3.用Multisim 软件对所设计的电路进行仿真,验证其功能。 四、实验内容

1. 译码器功能测试

将74LS139译码器按图3.1接线,按表3.1所示输入电平的状态分别置位相应的电平开关,填输出状态表。

1G

1A

1B

1Y 0

1Y 1

1Y 2

1Y 3

GND 2G 2A 2B 2Y 02Y 1

2Y 22Y 3V CC 74LS139

接电平

开关

接电平显示

12345678

9

11101213141516

图3.1 74LS139接线图

2. 译码器转换

将双2—4线译码器74LS139转换为3—8线译码器。 (1) 画出转换电路图。

(2) 组装所设计电路,并验证设计是否正确。 (3) 设计并填写该3—8线译码器功能表。 3. 数据选择器的测试及应用

(1) 将双4选1数据选择器74LS153参照图3.2接线,测试其功能并填写功能表3.2。

(2) 将实验箱脉冲信号源中固定连续脉冲4个不同频率的信号接到数据选择 器4个输入端,输出端1Y 接示波器,将选端择置位,利用示波器观察输出波形,填表3.3。

(3) 分析上述实验结果并总结数据选择器作用。

开关

图3.2 74LS153接线图

表3.3

4. 数据选择器转换

设计一个电路,将74LS153转换成8选1数据选择器。

(1) 画出转换电路图。

(2) 组装所设计电路,并验证设计是否正确。

(3) 设计并填写该8选1数据选择器的功能表。

五、实验报告

1. 画出实验要求的转换电路图。

2. 设计并填写实验所要求的功能表。

3. 总结译码器和数据选择的使用体会。

实验四 触发器

一、实验目的

1.加深理解触发器的逻辑功能,掌握触发器的功能转换。 2.加深理解触发器的电平触发方式和边沿触发方式的特点。 3.学习集成触发器的应用。 二、实验仪器及材料

1.双踪示波器

2.器件 74LS00 二输入端四与非门 1片 74LS74 双D 触发器 1片 74LS112 双JK 触发器 1片 三、预习要求

1.熟悉74LS74和74LS112的功能及引脚排列。 2.根据实验相关内容,画出逻辑电路图。

3.用Multisim 软件对所设计的电路进行仿真,验证其功能。 四、实验内容

1.测试双D 触发器74LS74的逻辑功能 双D 触发器74LS74的逻辑符号如图4.1所示。

1D

2

1Q

5

~1Q

6

~1CLR 1

1CLK 3

~1PR

4

图4.1 74LS74逻辑符号

试按下面步骤做实验:

(1)分别在CLR′、PR′ 端加低电平,观察并记录Q 、Q ′ 端的状态。 (2)令CLR′、PR′端为高电平,D 端分别接高,低电平,用点动脉冲作为CLK , 观察并记录当CLK 为O 、↑、1、↓时Q 端状态的变化。

整理上述实验数据,将结果填入下表4.1中。

2. 测试双JK 触发器74LS112的逻辑功能

双JK 负边沿触发器74LS112芯片的逻辑符号如图4.2所示。 (1)分别在CLR′、PR′ 端加低电平,观察并记录Q 、Q′ 端的状态。 (2)令CLR′、PR′端为高电平,J 、K 端分别接高,低电平,用点动脉冲作为 CLK ,观察并记录当CLK 为O 、↑、1、↓时Q 端状态的变化。

整理上述实验数据,并将结果填入4.2中。

1Q

5

~1Q 6~1PR

41K

2

~1CLR 15

1J 31CLK 1

图4.2 74LS112逻辑符号

3.触发器功能转换

(1) 将D触发器转换成JK触发器,列出表达式,画出实验电路图。

(2) 自拟实验数据表并填写之,比较两者关系。

4.触发器应用(选做)

用双D触发器74LS74设计一个单次脉冲发生器。该电路的功能要求是:在高频系列脉冲和手动脉冲的共同作用下,只要手动脉冲作用一次,不管手动脉冲的周期多长,电路只输出一个高频系列脉冲周期宽度的脉冲信号。画出电路连接图,并测试其逻辑功能。

五、实验报告

1.整理实验数据并填表。

2.写出实验电路的设计过程,并画电路图。

3.整理实验数据,并对结果进行分析。

4.总结各类触发器特点。

实验五时序逻辑电路

一、实验目的

1.加深理解时序逻辑电路的工作原理。

2.掌握时序逻辑电路的设计方法。

3.学习时序逻辑电路的功能测试方法。

二、实验仪器及材料

1.双踪示波器

2.器件 74LS00 二输入端四与非门1片

74LS74 双D触发器 2片

74LS112 双JK触发器 2片

74LS11 三输入端三与门 1片

三、预习要求

1.熟悉所用集成电路的逻辑功能及引脚排列。

2.根据实验相关内容,画出逻辑电路图。

3.用Multisim软件对所设计的电路进行仿真,验证其功能。

四、实验内容

1.同步二进制加法计数器

(1)用2片双JK触发器74LS112设计一个4位同步二进制加法计数器。

(2)画出实验电路图,并组装所设计的电路。

(2)验证所设计的同步二进制加法计数器功能,记录实验结果。

2. 环形计数器

(1)利用2片双D触发器74LS74设计一个4位环形计数器。

(2)将触发器的初始状态设置为1000,用单脉冲计数,观察各触发器的状态。

(3)采用连续脉冲计数,并将其中一个状态为“0”的触发器置为“1”(模拟干扰信号作用的结果)。观察计数器能否正常工作,分析原因。

五、实验原理与说明

1. 时序逻辑电路的设计方法

(1)分析题意,确定输入、输出变量,画出状态转换图。

(2)进行状态化简,选定所需的状态数和触发器个数。

(3)状态分配,将所需状态用各触发器的输出组合来表示。

(4)列出初态到次态的状态转换以及实现转换对个触发器输入端的要求。

(5)求出个触发器的驱动方程和输出方程。

(6)画电路图。

(7)检查电路能否自启动。

2. 时序逻辑电路的功能测试

时序逻辑电路的功能测试可以通过数码管、LED来静态测试,也可以通过示波器来进行动态测试。以十进制加法计数器为例,其实验过程如下:(1)连接好电路,输出连数码管,用手控脉冲作为计数脉冲输入进行调试。

(2)触发器的输出连数码管模块的DCBA输入,计数脉冲采用1Hz的方波,观察数码管的显示结果。

(3)计数脉冲采用1KHz的脉冲信号,用示波器观察CLK端及4个触发器输出端的波形。

(4)检查电路能否自启动。在CLK脉冲未加入前,先将输出置成循环状态以外的无效态,然后再加入计数脉冲,观察电路能否进入有效循环状态。

六、实验报告

1.写出实验电路的设计过程,列出逻辑函数式,画出设计电路图。

2.记录所设计电路的实验结果,并与设计要求进行比较。

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

测试技术实验指导书及实验报告2006级用汇总

矿压测试技术实验指导书 学号: 班级: 姓名: 安徽理工大学 能源与安全学院采矿工程实验室

实验一常用矿山压力仪器原理及使用方法 第一部分观测岩层移动的部分仪器 ☆深基点钻孔多点位移计 一、结构简介 深基点钻孔多点位移计是监测巷道在掘进和受采动影响的整个服务期间,围岩内部变形随时间变化情况的一种仪器。 深基点钻孔多点位移包括孔内固定装置、孔中连接钢丝绳、孔口测读装置组成。每套位移计内有5~6个测点。其结构及其安装如图1所示。 二、安装方法 1.在巷道两帮及顶板各钻出φ32的钻孔。 2.将带有连接钢丝绳的孔内固定装置,由远及近分别用安装圆管将其推至所要求的深度。(每个钻孔布置5~6个测点,分别为;6m、5m、4m、3m、2m、lm或12m、10m、8m、6m、4m、2m)。 3.将孔口测读装置,用水泥药圈或木条固定在孔口。 4。拉紧每个测点的钢丝绳,将孔口测读装置上的测尺推至l00mm左右的位置后,由螺丝将钢丝绳与测尺固定在一起。 三、测试方法 安装后先读出每个测点的初读数,以后每次读得的数值与初读数之差,即为测点的位移值。当读数将到零刻度时,松开螺丝,使测尺再回到l00mm左右的位置,重新读出初读数。 ☆顶板离层指示仪 一、结构简介: 顶板离层指示仪是监测顶板锚杆范围内及锚固范围外离层值大小的一种监测仪器,在顶板钻孔中布置两个测点,一个在围岩深部稳定处,一个在锚杆端部围岩中。离层值就是围岩中两测点之间以及锚杆端部围岩与巷道顶板表面间的相对位移值。顶板离层指示仪由孔内固定装置、测量钢丝绳及孔口显示装置组成如图1所示。

二、安装方法: 1.在巷道顶板钻出φ32的钻孔,孔深由要求而定。 2.将带有长钢丝绳的孔内固定装置用安装杆推到所要求的位置;抽出安装杆后再将带有短钢丝绳的孔内固定装置推到所要求的位置。 3.将孔口显示装置用木条固定在孔口(在显示装置与钻孔间要留有钢丝绳运动的间隙)。 4.将钢丝绳拉紧后,用螺丝将其分别与孔口显示装置中的圆管相连接,且使其显示读数超过零刻度线。 三、测读方法: 孔口测读装置上所显示的颜色,反映出顶板离层的范围及所处状态,显示数值表示顶板的离层量。☆DY—82型顶板动态仪 一、用途 DY-82型顶板动态仪是一种机械式高灵敏位移计。用于监测顶底板移近量、移近速度,进行采场“初次来压”和“周期来压”的预报,探测超前支撑压力高 峰位置,监测顶板活动及其它相对位移的测量。 二、技术特征 (1)灵敏度(mm) 0.01 (2)精度(%) 粗读±1,微读±2.5 (3)量程(mm) 0~200 (4)使用高度(mm) 1000~3000 三、原理、结构 其结构和安装见图。仪器的核心部件是齿条6、指针8 以及与指针相连的齿轮、微读数刻线盘9、齿条下端带有读 数横刻线的游标和粗读数刻度管11。 当动态仪安装在顶底板之间时,依靠压力弹簧7产生的 弹力而站立。安好后记下读数(初读数)并由手表读出时间。 粗读数由游标10的横刻线在刻度管11上的位置读出,每小 格2毫米,每大格(标有“1”、“22'’等)为10毫米,微读数 由指针8在刻线盘9的位置读出,每小格为0.01毫米(共200 小格,对应2毫米)。粗读数加微读数即为此时刻的读数。当 顶底板移近时,通过压杆3压缩压力弹簧7,推动齿条6下 移,带动齿轮,齿轮带动指针8顺时针方向旋转,顶底板每 移近0.01毫米,指针转过1小格;同时齿条下端游标随齿条 下移,读数增大。后次读数减去前次读数,即为这段时间内的顶底板移近量。除以经过的时间,即得

园艺植物育种学实验指导书(2013.3)

园艺植物育种学总论实验指导书 黄桂香编著 广西大学农学院园艺系 2013年3月

目录 实验一园艺植物花粉生活力测定(3h) (3) (一)果树花粉生活力测定 (3) (二)蔬菜花粉生活力测定 (6) 实验二、三园艺植物开花习性观察及有性杂交育种(6h) (7) (一)果树开花习性观察及有性杂交育种 (7) (二)蔬菜开花习性观察及有性杂交育种 (8) 实验四、园艺植物品种描述及鉴别(3h) (10) (一)番茄品种描述及鉴别 (10) (二)荔枝品种描述及鉴别 (13) 实验五、种胚分离培养技术(3h) (16) 实验六、园艺植物选择育种(3h) (17) 实验七、园艺植物品种调查及育种方案的制订(6h) (20) 实验八、园艺植物花粉单核期观察(3h) (20)

实验一、园艺植物花粉发芽试验及生活力测定 内容一:果树花粉发芽试验及花粉生活力测定 一、目的要求 练习并掌握果树花粉发芽试验及花粉生活力测定技术 二、材料用具 供试花粉、氯化钙、硼酸、琼脂、蔗糖、凹玻片、棉花、染色瓶、蒸馏水、显微镜、计数器、天平、载玻片、盖玻片、烧杯、镊子、水浴锅、玻璃杯、玻棒、冰箱、温箱、干燥器等。联苯胺、α—萘酚、碳酸钠、酒精、过氧化氢、氯化三苯基四氮吡、磷酸氢二钠、磷酸二氢钾、量筒、量瓶、滴瓶等。 安全提示:因联苯胺、α—萘酚、氯化三苯基四氮唑为易制毒化学品,操作时要按规范要求,避免人体直接接触,注意安全。 三、说明 在有性杂交工作中,常因杂交亲本组合的花期不遇,或亲本植株异地而必须预先收集父本花粉,花粉应贮藏在低温(0—4℃),干燥(相对湿度0—4%)不受阳光直射(或黑暗)的环境下,可以较长时间地保持花粉的生活力,经贮藏或外地采来的花粉,在授粉之前,必须检验花粉的生活力,以确定花粉发芽的可靠程度,这对检验杂交后的效果,具有重要意义。 花粉人工发芽的效果与培养基的配制是否适合有很大关系,培养基常用不同浓度的蔗糖和琼脂1%及蒸馏水配制而成,不同果树的花粉对蔗糖浓度要求不同。一般柑桔花粉要求蔗糖浓度以10—15%为宜;苹果及梨以10—15%为宜,桃以10%为宜。通过控制蔗糖浓度的高低可调节培养基的渗透压,防止播种的花粉在培养基上发生破裂现象。培养基一般以微酸性为宜,pH值相应调整在6—5.2之间,发芽温度控制在20—25℃,并需要空气和较高的湿度。如在培养基中加入少量,更可促进花粉发芽。 的硼酸和维生素B 1 测定花粉生活力,同样是检查花粉的质量,因为做花粉发芽试验时,操作较复杂,设备要求较高,需时也较长。为了简易而迅速地测定花粉的生活力,也有用化学染色的方法测定花粉生活力,但此种方法有一个很大的缺点,就是不能真实地反映出有发芽力的花粉究竟有多少,因为即使染上色的花粉也不一定都具有生活力。所以一般常用而且较为可靠的方法仍然是采用花粉发芽试验,而染色法作为一种粗略的快速测定,还是比较简便的。 四、方法和步骤 (一)花粉发芽试验 (1)培养基的配制:在100毫升蒸馏水中,加入琼脂1克,蔗糖(按果树花粉种类不同的比例加入)和0.01g的硼酸共同置于烧杯中加热煮沸,使琼脂和蔗糖等充分溶解于水中,在加热过程中,由于水分蒸发,必须加入失去的水分,才能保持准确的浓度,然后将烧杯置于水浴锅中(或盛热水的烧杯中)备用,以防培养基冷却凝固 (2)发芽床的装置:用玻棒将冷却前(约50℃)的培养基滴入凹玻片的凹孔中(1—2滴),要求培养基表面必须园正,待其凝固后用作播种花粉之发芽床。 (3)播种花粉用接种丝或头发沾上花粉(花粉事先收集使之干燥,贮藏于干燥器中置于冰箱内)少许,均匀地播种于培育基表面,播种时要防止花粉成团堆集

数字电子技术实验心得

数字电子技术实验心得 这学期学了数字电子技术实验,让我了解到了更多知识,加深了对数字电子技术的理解。这是一门理论与实践密切相关的学科,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。 通过数字电子技术实验, 我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。 在做实验前,一定要将课本上的知识吃透,因为这是做实验的基础,否则,在老师讲解时就会听不懂,这将使你在做实验时的难度加大,浪费做实验的宝贵时间.做实验时,一定要亲力亲为,务必要将每个步骤,每个细节弄清楚,弄明白,实验后,还要复习,思考,这样,你的印象才深刻,记得才牢固,否则,过后不久你就会忘得一干二净,这还不如不做.做实验时,老师还会根据自己的亲身体会,将一些课本上没有的知识教给我们,拓宽我们的眼界,使我们认识到这门课程在生活中的应用是那么的广泛. 我也学习到一些经验: 1、如果发现了实验中问题所在,此时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要修正等等,只有这样我们的能力才能有所提高。不要盲目的把导线全部拆掉,然后又重新连接一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。 2、在实验过程中,我们也要学会分工协作,不能一味的我行我素或是自己一点也不参与其中。 3、在实验过程中,要互相学习,学习优秀同学的方法和长处,同时也要学会虚心向指导老师请教,当然这要建立在自己独立思考过的基础上。 在实验的过程中我们要培养自己的独立分析问题,和解决问题的能力。培养这种能力的前题是你对每次实验的态度。数字电子技术实验,有利于掌握知识体系与学习方法,有利于激发我们学习的主动性,增强自信心,有利于培养我们的创新钻研的能力,有利于书本知识技能的巩固和迁移。我们认为,在这学期的实

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

混凝土结构实验指导书及实验报告(学生用)

土木工程学院 《混凝土结构设计基本原理》实验指导书 及实验报告 适用专业:土木工程周淼 编 班级::学 号: 理工大学 2018 年9 月

实验一钢筋混凝土梁受弯性能试验 一、实验目的 1.了解适筋梁的受力过程和破坏特征; 2.验证钢筋混凝土受弯构件正截面强度理论和计算公式; 3.掌握钢筋混凝土受弯构件的实验方法及荷载、应变、挠度、裂缝宽度等数据的测试技术 和有关仪器的使用方法; 4.培养学生对钢筋混凝土基本构件的初步实验分析能力。 二、基本原理当梁中纵向受力钢筋的配筋率适中时,梁正截面受弯破坏过程表现为典型的三个阶段:第一阶段——弹性阶段(I阶段):当荷载较小时,混凝土梁如同两种弹性材料组成的组合梁,梁截面的应力呈线性分布,卸载后几乎无残余变形。当梁受拉区混凝土的最大拉应力达到混凝土的抗拉强度,且最大的混凝土拉应变超过混凝土的极限受拉应变时,在纯弯段某一薄弱截面出现首条垂直裂缝。梁开裂标志着第一阶段的结束。此时,梁纯弯段截面承担的弯矩M cr称为开裂弯矩。第二阶段——带裂缝工作阶段(II阶段):梁开裂后,裂缝处混凝土退出工作,钢筋应力急增,且通过粘结力向未开裂的混凝土传递拉应力,使得梁中继续出现拉裂缝。压区混凝土中压应力也由线性分布转化为非线性分布。当受拉钢筋屈服时标志着第二阶段的结束。此时梁纯弯段截面承担的弯矩M y称为屈服弯矩。第三阶段——破坏阶段(III阶段):钢筋屈服后,在很小的荷载增量下,梁会产生很大的变形。裂缝的高度和宽度进一步发展,中和轴不断上移,压区混凝土应力分布曲线渐趋丰满。当受压区混凝土的最大压应变达到混凝土的极限压应变时,压区混凝土压碎,梁正截面受弯破坏。此时,梁承担的弯矩M u 称为极限弯矩。适筋梁的破坏始于纵筋屈服,终于混凝土压碎。整个过程要经历相当大的变形,破坏前有明显的预兆。这种破坏称为适筋破坏,属于延性破坏。 三、试验装置

VCO实验指导书-2013版

VCO 压控振荡器电路设计指导书 一 实验目的 1 了解变容二极管原理,掌握高频LC 振荡器与压控振荡器电路的设计要点; 2 按要求设计一个VCO 电路,掌握高频电路设计、组装和调试步骤与方法。 二 设计要求 1 设计一个改进型电容三点式压控振荡器,实现无明显失真的正弦波输出,改变变容二极管静态工作电压调整输出频率; 2 电源电压:+12V ;输出频率调节范围:17.5MHz-22MHz ;输出信号幅度范围:3.0V-1.1V ; 三 实验原理 1 变容二极管原理 当PN 结外加反偏电压时,势垒电容随外加电压的增加而减小。变化曲线如图1所示。 当变容二极管结电容作为振荡器振荡电容的一部分时,改变 变容管反偏电压可以达到改变振荡频率的目的。变容二极管 及其应用请参考《通信电路实验与设计》3.3节内容。 改进型电容三点式振荡器具有电路简单、起振容易、频率稳定性高的特点。在工程实践中获得广泛应用。 2 原理电路 改进型电容三点式振荡器原理和电路参照教材《通信电 路实验与设计》2.4节内容。本实验设计参考电路如图2所示。 四 设计报告要求请参照实验教材附录A 图1 变容管曲线 GND GND GND 图2 设计参考电路 五 元件清单 三极管9018一个,变容管BB910一个(反偏电压0.5V-30V 时,电容范围2.3p-38p),电阻5.1k 、2k 各2个,1k 、100k 各1个,电容27p 、100p (331)、680p(681)、1000p(102)、0.01u(103)、0.1u(104)、10u 各1个,电感2.2uH 一个,电位器50k 、10k 各1个。 C j V C

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

土工实验指导书及实验报告

土工实验指导书及实验报告编写毕守一 安徽水利水电职业技术学院 二OO九年五月

目录 实验一试样制备 实验二含水率试验 实验三密度试验 实验四液限和塑限试验 实验五颗粒分析试验 实验六固结试验 实验七直接剪切试验 实验八击实试验 土工试验复习题

实验一试样制备 一、概述 试样的制备是获得正确的试验成果的前提,为保证试验成果的可靠性以及试验数据的可比性,应具备一个统一的试样制备方法和程序。 试样的制备可分为原状土的试样制备和扰动土的试样制备。对于原状土的试样制备主要包括土样的开启、描述、切取等程序;而扰动土的制备程序则主要包括风干、碾散、过筛、分样和贮存等预备程序以及击实等制备程序,这些程序步骤的正确与否,都会直接影响到试验成果的可靠性,因此,试样的制备是土工试验工作的首要质量要素。 二、仪器设备 试样制备所需的主要仪器设备,包括: (1)孔径0.5mm、2mm和5mm的细筛; (2)孔径0.075mm的洗筛; (3)称量10kg、最小分度值5g的台秤; (4)称量5000g、最小分度值1g和称量200g、最小分度值0.01g的天平;

(5)不锈钢环刀(内径61.8mm、高20mm;内径79.8mm、高20mm或内径61.8mm、高40mm); (6)击样器:包括活塞、导筒和环刀; (7)其他:切土刀、钢丝锯、碎土工具、烘箱、保湿器、喷水设备、凡士林等。 三、试样制备 (一)原状土试样的制备步骤 1、将土样筒按标明的上下方向放置,剥去蜡封和胶带,开启土样筒取土样。 2、检查土样结构,若土样已扰动,则不应作为制备力学性质试验的试样。 3、根据试验要求确定环刀尺寸,并在环刀内壁涂一薄层凡士林,然后刃口向下放在土样上,将环刀垂直下压,同时用切土刀沿环刀外侧切削土样,边压边削直至土样高出环刀,制样时不得扰动土样。 4、采用钢丝锯或切土刀平整环刀两端土样,然后擦净环刀外壁,称环刀和土的总质量。 5、切削试样时,应对土样的层次、气味、颜色、夹杂物、裂缝和均匀性进行描述。 6、从切削的余土中取代表性试样,供测定含水率以及颗粒分析、界限含水率等试验之用。

微机原理及接口技术实验指导书2013本(SH51-Keil版)讲解

微机原理及接口技术实验指导书 北京联合大学机电学院 2013年4月

目录 实验指南 GCMCU单片机实验箱简介 Keil集成开发环境使用简介 实验一、数据传送及输入输出接口实验实验二、常用数据处理程序设计 实验三、外部中断实验 实验四、定时器/计数器的应用 实验五、机电设备控制实验 实验六、LED显示器实验 实验七、模/数转换实验 实验八、串行通讯实验 附录I、预习报告格式 附录II、实验报告格式

实验指南 千里之行,始于足下。如果你想成为一个优秀的应用型性工程技术人员首先应该重视实验课程,重视实验过程。养成良好的工作作风和习惯。 为了使实验安全、可靠、准确地进行,为了避免造成实验失误,实验之初应该仔细地了解你所使用设备的各种性能和要求,认真思考。绝不可草率从事或操之过急马马虎虎。应该做到细心、耐心,逐渐培养严肃认真,一丝不苟的工作作风。请注意以下几点: 1.根据授课教师给出的时间范围,到实验室申请实验时间。 2.实验之前认真阅读实验指导书,严格按照实验内容和实验要求撰写预习报告,设计实验程序。 3.使用各种实验设备之前,必须了解测量所用的范围、额定值。应将输出量程置于最小,输入量程置于最大。 4.熟悉操作设备的各项功能和作用,做到心中有数,如有疑问应立即向教师提出。 5.实验时严格按照实验要求和实验步骤进行实验。 6.连接线路后应仔细检查,确保无误后才能开启电源。 7.各种开关不能用力硬扳,各种接插头不得硬插,各种连线应避免拉扯使用。 8.特别注意各输出引线(尤其是电源输出引线),不要与地或通过机壳造成短路。 9.实验过程中应仔细观察、记录各种状态的微小变化。 10.实验结束后应先将电源关闭,再撤除连线。清理实验台后才能离开。要做到有始有终。 11.实验完成后认真编写实验报告,不要就事论事,应该对实验结果深入进行分析讨论,提出自己的看法和评价。

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

CAD上机实验指导书及实验报告

北京邮电大学世纪学院 实验、实习、课程设计报告撰写格式与要求 (试行) 一、实验报告格式要求 1、有实验教学手册,按手册要求填写,若无则采用统一实验报告封面。 2、报告一律用钢笔书写或打印,打印要求用A4纸;页边距要求如下:页边距上下各为2.5厘米,左右边距各为2.5厘米;行间距取固定值(设置值为20磅);字符间距为默认值(缩放100%,间距:标准)。 3、统一采用国家标准所规定的单位与符号,要求文字书写工整,不得潦草;作图规范,不得随手勾画。 4、实验报告中的实验原始记录,须经实验指导教师签字或登记。 二、实习报告、课程设计报告格式要求 1、采用统一的封面。 2、根据教学大纲的要求手写或打印,手写一律用钢笔书写,统一采用国家标准所规定的单位与符号,要求文字书写工整,不得潦草;作图规范,不得随手勾画。打印要求用A4纸;页边距要求如下:页边距上下各为2.5厘米,左右边距各为2.5厘米;行间距取固定值(设置值为20磅);字符间距为默认值(缩放100%,间距:标准)。 三、报告内容要求 1、实验报告内容包括:实验目的、实验原理、实验仪器设备、实验操作过程、原始数据、实验结果分析、实验心得等方面内容。 2、实习报告内容包括:实习题目、实习任务与要求、实习具体实施情况(附上图表、原始数据等)、实习个人总结等内容。 3、课程设计报告或说明书内容包括:课程设计任务与要求、总体方案、方案设计与分析、所需仪器设备与元器件、设计实现与调试、收获体会、参考资料等方面内容。 北京邮电大学世纪学院 教务处 2009-8

实验报告 课程名称计算机绘图(CAD) 实验项目AutoCAD二维绘图实验 专业班级 姓名学号 指导教师实验成绩 2016年11月日

神经网络实验指导书2013版[1]

神经网络实验指导书2013版[1]

北京信息科技大学自编实验讲义 神经网络实验指导书 许晓飞陈雯柏编著

找其映射是靠学习实践的,只要学习数据足够完备,就能够描述任意未知的复杂系统。因此前馈神经网络为非线性系统的建模和控制提供了有力的工具。 输入层隐层输出层 图1 前馈型神经网络结构 2.BP算法原理 BP(Back Propagation)神经网络是一种利用误差反向传播训练算法的前馈型网络,BP学习算法实质是求取网络总误差函数的最小值问题[2]。这种算法采用非线性规划中的最速下降方法,按误差函数的负梯度方向修改权系数,它是梯度下降法在多层前馈网络中的应用。具体学习算法包括两大过程,其一是输入信号的正向传播过程,其二是输出误差信号的反向传播过程。 1.正向传播 输入的样本从输入层经过隐层单元一层一层进行处理,通过所有的隐层之后,则传向输出

层;在逐层处理的过程中,每一层神经元的状态只对下一层神经元的状态产生影响。在输出层把现行输出和期望输出进行比较,如果现行输出不等于期望输出,则进入反向传播过程。 2.反向传播 反向传播时,把误差信号按原来正向传播的通路反向传回,并对每个隐层的各个神经元的权系数进行修改,以望误差信号趋向最小。网络各层的权值改变量,则由传播到该层的误差大小来决定。 3.BP算法的特点 BP神经网络具有以下三方面的主要优点[3]:第一,只要有足够多的隐含层和隐层节点,BP 神经网络可逼近任意的非线性映射关系;第二,BP学习算法是一种全局逼近方法,因而它具有较好的泛化能力。第三,BP神经网络具有一定的容错能力。因为BP神经网络输入输出间的关联信息分布存储于连接权中,由于连接权的个数总多,个别神经元的损坏对输入输出关系只有较小影响。 但在实际应用中也存在一些问题,如:收敛

《流体力学》课程实验(上机)指导书及实验报告格式

《流体力学》课程实验指导书袁守利编 汽车工程学院 2005年9月

前言 1.实验总体目标、任务与要求 1)学生在学习了《流体力学》基本理论的基础上,通过伯努利方程实验、动量方程实 验,实现对基本理论的验证。 2)通过实验,使学生对水柱(水银柱)、U型压差计、毕托管、孔板流量计、文丘里流量计等流体力学常用的测压、测流量装置的结构、原理和使用有基本认识。 2.适用专业 热能与动力工程 3.先修课程 《流体力学》相关章节。 4.实验项目与学时分配 5. 实验改革与特色 根据实验内容和现有实验条件,在实验过程中,采取学生自己动手和教师演示相结合的方法,力求达到较好的实验效果。

实验一伯努利方程实验 1.观察流体流经实验管段时的能量转化关系,了解特定截面上的总水头、测压管水头、压强水头、速度水头和位置水头间的关系,从而加深对伯努利方程的理解和认识。 2.掌握各种水头的测试方法和压强的测试方法。 3.掌握流量、流速的测量方法,了解毕托管测速的原理。 二、实验条件 伯努利方程实验仪 三、实验原理 1.实验装置: 图一伯努利方程实验台 1.水箱及潜水泵 2.上水管 3.电源 4.溢流管 5.整流栅 6.溢流板 7.定压水箱 8.实验 细管9. 实验粗管10.测压管11.调节阀12.接水箱13.量杯14回水管15.实验桌 2.工作原理 定压水箱7靠溢流来维持其恒定的水位,在水箱下部装接水平放置的实验细管8,水经实验细管以恒定流流出,并通过调节阀11调节其出水流量。通过布置在实验管四个截面上的四组测压孔及测压管,可以测量到相应截面上的各种水头的大小,从而可以分析管路中恒定流动的各种能量形式、大小及相互转化关系。各个测量截面上的一组测压管都相当于一组毕托管,所以也可以用来测管中某点的流速。 电测流量装置由回水箱、计量水箱和电测流量装置(由浮子、光栅计量尺和光电子

(完整word版)安全人机工程学综合实验指导书20131

《安全人机工程学》实验指导书 杨轶芙编 实验学时:6学时

目录 实验一手指灵活性测试 ................................................................... - 1 -实验二动觉方位辨别能力的测定 ..................................................... - 3 -实验三暗适应测试实验 ..................................................................... - 5 -实验四明度适应测试 ......................................................................... - 8 -实验五选择、简单反应时测定实验............................................... - 10 -实验六听觉实验 ............................................................................... - 15 -实验七动作稳定性测试 ................................................................... - 22 -

实验一手指灵活性测试 『实验目的』 测定手指、手、手腕灵活性以及手眼协调能力。 『实验仪器』 采用EP707A型手指灵活性测试仪。 该仪器的主要技术参数如下: 1、手指灵活性测试100孔 2、指尖灵活性测试M6、M5、M4、 M3螺栓各25个 3、计时范围0~9999.99秒 4、电源电压220V 50HZ 5、消耗功率10W 6、外形尺寸505×310×48 7、重量3.5千克(净重) 『实验内容』 (一)手指灵活性测试(插孔插板) 1、使用者接上电源打开电源开关,此时计时器即全部显示为0000. 00。然后插上手指灵活性插板(有100个φ 1.6mm 孔),按复位按键被试即可进行测试。 2、被试用优势手拿住镊子钳住φ1.5针,插入开始位,计时器开始计时 3、依次用镊子(从左至右,从上至下)钳住φ1.5针插满100个孔,最后插终止位,计时会自动结束,记录下插入100个棒所需要的时间; 4、每次重新开始需按“复位”键清零。 (二)指尖灵活性测试 1、使用者接上电源打开电源开关,此时计时器即全部显示为0000. 00。然后插上指尖灵活性插板(M6、M5、M4、M3螺栓各25个),按复位按键被试即可进行测试。 2、当被试用优势手放入起始点第一个M6垫圈起,计时器开始计时,然后

数字电子技术实验-在线

数字电子技术综合实验指导书 实验一门电路功能测试及应用 一.实验目的 1.掌握了解TTL系列、CMOS系列外形及逻辑功能。 2.熟悉各种门电路参数的测试方法。 3. 熟悉集成电路的引脚排列 二、实验仪器及材料 a)东南大学在线实验平台-SEU远程实境实验平台数字逻辑电路实验 三.预习要求 1)复习门电路工作原理及相应逻辑表达式。 2)常用TTL门电路和CMOS门电路的功能、特点。 3)熟悉所用集成电路的引线位置及各引线用途。 四、实验原理及芯片外引线图、逻辑符号及逻辑图 1.TTL门电路 TTL门电路是数字电路中应用最广泛的门电路,基本门有与门、或门和非门。复合门有与非门、或非门、与或非门和异或门等。这种电路的电源电压为+5V,电源电压允许变化范围比较窄,一般在 4.5~5.5V 之间。高电平的典型值是3.6V(高电平≥2.4V合格),低电平的典型值是0.3V(低电平≤0.45V合格)。 对门电路的多余输入端,最好不要悬空,虽然对TTL门电路来说,悬空相当于逻辑1,并不影响与门、与非门的逻辑关系,但悬空容易接受干扰,有时会造成电路的误动作。不同的逻辑门,其多余输入端的处理有不同的方法。 ⑴TTL与门、与非门多余输入端的处理 TTL与门、与非门多余输入端的处理方法是:把多余的输入端与有用的输入端并联使用;把多余输入端接高电平或通过串接限流电阻(大于或等于1KΩ)接V CC。实际使用中多采用把多余的输入端通过串接限流电阻接V CC的方法。其处理方法如图5-1所示。 (a) (b) (c) 图5-1 TTL与门与非门多余输入端的处理方法 ⑵TTL或门、或非门多余输入端的处理 TTL或门、或非门多余输入端的处理方法是:把多余的输入端与有用的输入端并联使用;把多余的输入端接低电平或接地。 2.CMOS门电路 CMOS门电路具有输入电阻高、功耗小、制造工艺简单、集成度高、电源电压变化范围大(3~18V)、输出电压摆幅大和噪声容限高等优点,因而在数字电路中得到了广泛的应用。高电平的典型值是电源电压V DD,低电平的典型值是0V。由于CMOS门电路的输入电阻很高,容易受静电感应而造成击穿,使其损坏,因此使用时应注意以下几点:

数字电子技术实验指导书 新

数字电子技术基础 实验指导书 (适用于数字逻辑、数字电子技术基础、数字电子技术等课程) 北京印刷学院 1

北京印刷学院 信息与机电工程学院 信息工程系 《数字电子技术基础实验》 指 导 书 (适用于数字逻辑、数字电子技术基础、数字电子技术等课程) 电路教研室编 2

3 实验一 示波器的实验研究 一、实验目的与要求 1.掌握COS5020型或V —212E 型双踪示波器的使用方法 2.掌握用示波器测量脉冲波形主要参数的方法 3.熟悉TPE —D6数字电路学习机的使用 二、实验设备与器材 1.双踪示波器 2.数字电路学习机 三、实验内容与步骤 1.双线显示示波器内的CAL 信号 通过检验该信号的周期与幅度,熟悉示波器各旋钮的作用,并测量该信号的周期与幅度。 =CAL V =C A L T 2.示波器测量 用示波器测量数字电路学习机中CP 脉冲的周期(开关放在可调连续脉冲Ⅰ、Ⅱ位置,电位器顺逆时针旋转到底位置),以及该脉冲的逻辑高电平。 =I ax m V =I min T = ax m V = min T 3.观察与测量RC 网络对矩形波信号的响应 本实验所用的电路形式如图1-1所示。 图1-1 RC 实验电路 v I 为输入方波信号,其周期为T =0.1ms 。 (1)RC 微分电路 实验电路中的Z 1和Z 2分别是电容C 和电阻R ,元件参数按表1-1选取,观察与测量输出信号v O 的波形,并测量其脉冲宽度。 (2)RC 积分电路 实验电路中的Z 1和Z 2分别是电阻R 和电容C ,元件参数按表1-2选取,观察与测量输出信号v O 的波形,并测量其脉冲上升时间。 四、预习要求

相关文档
最新文档