多功能数字钟电路设计指导书及仿真图

合集下载

Multisim做的数字时钟!完美运行

Multisim做的数字时钟!完美运行

Multisim做的数字时钟!完美运行班级电信工程1101姓名学号指导教师2014年 1 月所选课题:数字时钟一(设计要求多功能数字钟:能够准确显示时、分、秒时间,具有校时功能和闹钟功能。

要实现校时功能需要分别针对时分秒的校时电路,要实现1Hz的秒钟计数需要时钟振荡电路,所以数字钟电路一般由数码显示器、计数器、时钟振荡器和校时电路等几个部分组成。

二(设计思路及电路原理图数字时钟的总电路图如下所示:数字时钟工作原理:数字时钟电路由555振荡发生器、分频器、两个60进制分秒计数器、一个24进制小时计数器以及6个数字显示器组成。

电路工作时由555振荡器产生频率为1000HZ的脉冲,经由三个74LS192D构成的千分频的分频器得到频率为1HZ的脉冲,脉冲输入计数电路(分秒由60进制计数电路计数,1小时由24进制计数电路计数),然后将相应数字显示到数字显示器上即所要显示的时间。

另外,时钟的时间设置可以通过三个与单刀双掷开关相连的时钟信号发生器来实现。

闹钟由16个异或门,16个非门,2个8端与门,1个2端与门,1个灯泡组成。

电路原理框图如下:脉冲形成电路由555计时器组成的振荡电路。

考虑到时钟对精度要求较高,故在时钟电路中由555振荡电路产生频率为1KHz的脉冲信号,然后经过千分频的分频器分频产生1Hz脉冲。

555振荡器的参数确定:T=0.7(R1+R2)C=1ms,f=1/t=1KHZ,所以参数可以确定为:C1=10uF,C2=100nF,R1=45Ω,R2=50Ω(以上设置在实际仿真的时候速度过慢,故在实际仿真中)脉冲形成电路如下:2分频电路是三个用十进制计数器74LS90串联而成的千分频的分频器。

分频原理是在74LS90的输出端子中,从低位输入10个脉冲才从高位输出1个脉冲,这样一片74LS90就可以起十分频的作用,三个74LS90串联就构成了千分频的电路,输出的便是1HZ的标准脉冲信号。

分频电路如下所示:在数字时钟电路中,分与秒的计数电路是分别由两个74LS192D组成的60进制的计数电路实现的。

数字钟的设计与仿真-完整演示、功能强大

数字钟的设计与仿真-完整演示、功能强大

闹钟
计时
开关群
五、原理图的设计
2、计时原理
五、原理图的设计 2.1 秒信号的产生 由555 定时器 得到1Hz 的脉冲,功能主要是产生标准秒脉冲信 号和提供功能扩展电路所需要 的信号。 555计时器构成的多谐振荡器的工作原理:
它由分压器、比较器、基本R—S 触发器和放电三极管等部分组成。
五、原理图的设计
2.3 译码电路设计之星期的显示 关于星期的显示,本小组采用指示灯亮灭来指示星期。
五、原理图的设计
2.3 译码电路设计 星期显示的译码电路直接由基本门电路组成:
五、原理图的设计 2.4 整点报时功能原理
蜂 鸣 器
判断是 否 是否 为55秒 前50秒 后
五、原理图的设计
555计时器的内部结构图
A

A

五、原理图的设计
多谢振荡器的模拟电路图
五、原理图的设计
2.2 计数器模块原理 在数字钟的控制电路中,分和秒的控制都是一样的,都是由 一个十进制计数 器和一个六进制计数器串联而成的,在电路的设 计中我采用的是统一的器件 74LS161N 的反馈置数法来实现十进制 功能和六进制功能,根据74LS161 的结构 把输出端的0101 (十进 制为5)用一个与非门74LS00 引到Load 端便可置0,这 样就实现了 六进制计数。同样,在输出端的1001 (十进制为9)用一个与非门 74LS00 引到Load 端便可置0,这样就实现了十进制计数。在分和秒 的进位时, 用秒计数器的Load 端接分计数器的CLK控制时钟脉冲, 脉冲在上升沿来时计数 器开始计数。时计数器可由两个十进制计 数器串接并通过反馈接成二十四制计数器。
三、设计结果
我小组设计的数字钟已达到设计要求。可完成基本的计时功 能。 并设计有拓展项目:闹钟功能,计时部分添加星期的显示。

多功能数字钟

多功能数字钟

电子技术课程设计多功能数字钟学院:专业、班级:姓名:学号:指导老师:2008年12月目录1、设计任务与要求 (2)2、总体框图 (2)3、选择器件 (2)4、功能模块 (3)(1)时钟记数模块 (3)(2)整点报时驱动信号产生模块 (6)(3)八段共阴扫描数码管的片选驱动信号输出模块 (7)(4)驱动八段字形译码输出模块 (9)5、总体设计电路图 (10)(1)仿真图 (10)(2)电路图 (11)(3)管脚图 (11)6、设计心得体会 (12)一、设计任务与要求1、具有时、分、秒记数显示功能,以24小时循环计时。

2、要求数字钟具有清零、调节小时、分钟功能。

3、具有整点报时,整点报时的同时LED灯花样显示。

二、总体框图多功能数字钟总体框图如下图所示。

它由时钟记数模块(包括hour、minute、second 三个小模块)、驱动8位八段共阴扫描数码管的片选驱动信号输出模块(seltime)、驱动八段字形译码输出模块(deled)、整点报时驱动信号产生模块(alart)。

系统总体框图三、选择器件网络线若干/人、共阴八段数码管6个、蜂鸣器、hour(24进制记数器)、minute(60进制记数器)、second(60进制记数器)、alert(整点报时驱动信号产生模块)、seltime(驱动8位八段共阴扫描数码管的片选驱动信号输出模块)、deled(驱动八段字形译码输出模块)。

四、功能模块多功能数字钟中的时钟记数模块、驱动8位八段共阴扫描数码管的片选驱动信号输出模块、驱动八段字形译码输出模块、整点报时驱动信号产生模块。

(1) 时钟记数模块:<1.1>该模块的功能是:在时钟信号(CLK)的作用下可以生成波形;在清零信号(RESET)作用下,即可清零。

VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour isport(clk,reset:in std_logic;daout:out std_logic_vector(5 downto 0));end entity hour;architecture fun of hour issignal count:std_logic_vector(5 downto 0);begindaout<=count;process(clk,reset)beginif(reset='0') thencount<="000000";elsif(clk' event and clk='1') thenif(count(3 downto 0)="1001") thenif(count<16#24#) thencount<=count+7;else count<="000000";end if;elsif(count<16#23#) thencount<=count+1;else count<="000000";end if;end if;end process;end fun;<1.2>VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity minute isport(clk,clk1,reset,sethour:in std_logic;enhour:out std_logicdaout:out std_logic_vector(6 downto 0));end entity minute;architecture fun of minute issignal count:std_logic_vector(6 downto 0); begindaout<=count;process(clk,reset,sethour)beginif(reset='0') thencount<="0000000";elsif(sethour='0') thenenhour<=clk1;elsif(clk' event and clk='1') thenif(count(3 downto 0)="1001") thenif(count<16#60#) thenif(count="1011001") thenenhour<='1';count<="0000000"; else count<=count+7;end if;elsecount<="0000000";end if;elsif(count<16#60#) thencount<=count+1;enhour<='0';elsecount<="0000000";end if;end if;<1.3>VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY second ISPORT(clk,reset,setmin:IN STD_LOGIC;enmin:OUT STD_LOGIC;daout:out std_logic_vector(6 downto 0)); END entity second;ARCHITECTURE fun OF second ISSIGNAL count:STD_LOGIC_VECTOR(6 downto 0); BEGINdaout<=count;process(clk,reset,setmin)beginif(reset='0') thencount<="0000000";elsif(setmin='0')thenenmin <=clk;elsif(clk'event and clk='1')thenif(count(3 downto 0)="1001")thenif(count<16#60#)thenif(count="1011001")thenenmin<='1';count<="0000000";ELSE count<=count+7;end if;elsecount<="0000000";end if;elsif(count<16#60#)thencount<=count+1;enmin<='0';elsecount<="0000000";end if;end if;end process;END fun;(2)整点报时驱动信号产生模块该模块功能:在时钟信号(CLK)的作用下可以生成波形,SPEAK输出接扬声器,以产生整点报时发声。

数字钟计时电路的电类实验原理图和电路图

数字钟计时电路的电类实验原理图和电路图

页眉内容
实验1 多功能计时电路的设计——数字钟
1.实验原理及框图
图1.1三位计时器示意图
计时电路示意图如图1.1所示,计时电路完成计时功能,并且将计时结果传送至显示电路,进而实现显示功能。

原理框图如图1.2所示,主要由计时电路,秒信号发生电路,清零电路和译码显示电路组成。

计时电路在秒信号的作用下,产生0:00~9:59的循环计时,清零电路控制计时电路的清零端,实现时钟的清零,最终将计时电路的输出送至译码显示电路,实现时钟的显示。

图1.2数字钟的原理框图
精心整理。

多功能数字钟的设计和制作

多功能数字钟的设计和制作

目录摘要 (1)1数字钟的结构设计及方案选择 (2)1.1振荡器的选择 (2)1.2计数单元的构成及选择 (3)1.3译码显示单元的构成选择 (3)1.4校时单元电路设计及选择 (4)2 数字钟单元电路的设计 (4)2.1振荡器电路设计 (4)2.2时间计数单元设计 (4)2.2.1集成异步计数器74LS390 (5)2.2.2 用74LS390构成秒和分计数器电路 (5)2.2.3用74LS390构成时计数器电路 (6)2.2.4 时间计数单元总电路 (7)2.3译码显示单元电路设计 (7)2.4 校时单元电路设计 (7)2.5整点报时单元电路设计 (1)3 数字钟的实现电路及其工作原理 (9)4电路的搭建与调试 (10)5结束语 (10)参考文献 (11)附录1: (12)摘要数字钟被广泛用于个人家庭及公共场所,成为人们日常生活中的必需品。

诸如定时自动报警、按时自动打铃、定时广播、自动起闭路灯、定时开关烘箱、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。

因此,研究数字钟及扩大其应用,有着非常现实的意。

数字电子钟,从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

数字电子钟有以下几部分组成:振荡器,分频器,60进制的秒、分计时器和12进制计时计数器,秒、分、时的译码显示部分及校正电路等。

关键词:数字钟 555多谐振荡器计数器 74LS390 74LS48数字电子时钟的设计及制作1数字钟的结构设计及方案选择数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

主要由振荡器、分频器、计数器、译码器显示器和校时电路组成。

振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,通常使用石英晶体震荡器,然后经过分频器输出标准秒脉冲,或者由555构成的多谐振荡器来直接产生1HZ的脉冲信号。

秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“12翻1”规律计数。

数字钟系统电路的设计方案与仿真分析

数字钟系统电路的设计方案与仿真分析

数字钟系统电路的设计方案与仿真分析
在电子技术实验教学中,构建学生的电路设计理念,提高学生的电路设计能力,是教学的根本目的和核心内容。

数字钟电路的设计和仿真,涉及模拟电子技术、数字电子技术等多方面知识,能够体现实验者的理论功底和设计水平,是电子设计和仿真教学的典型案例。

文中采用了555 定时器电路、计数电路、译码电路、显示电路和时钟校正电路,来实现该电路。

1 系统设计方案
数字钟由振荡器、分频器、计时电路、译码显示电路等组成。

振荡器是数字钟的核心,提供一定频率的方波信号;分频器的作用是进行频率变换,产生频率为1 Hz 的秒信号,作为是整个系统的时基信号; 计时电路是将时基信号进行计数;译码显示电路的作用是显示时、分、秒时间;校正电路用来对时、分进行校对调整。

其总体结构图,如图1 所示。

2 子系统的实现
2.1 振荡器
本系统的振荡器采用由555 定时器与RC 组成的多谐振荡器来实现,如图2 所示即为产生1 kHz 时钟信号的电路图。

此多谐振荡器虽然产生的脉冲误差较大,但设计方案快捷、易于实现、受电源电压和温度变化的影响很小。

2.2 分频器
由于振荡器产生的频率高,要得到标准的秒信号,就需要对所得到的信号进行分频。

在此电路中,分频器的功能主要有两个:1)产生标准脉冲信号;2)提供电路工作需要的信号,比如扩展电路需要的信号。

通常实现分频器的电路是计数器电路,选择74LS160 十进制计数器来完成上述功能[5]。

如图3 所示,555 定时器产生1 kHz 的信号,经过3 次1/10 分频后得到1 Hz 的脉冲信号,为秒个位提供标准秒脉冲信号。

基于Multisim 14仿真设计的多功能数字电子钟

基于Multisim 14仿真设计的多功能数字电子钟

电子产品世界基于Multisim 14仿真设计的多功能数字电子钟Multifunctional digital electronic clock based on simulation design of Multisim 14金子涵,任致远,史旭东,王胜铎 (黑龙江工程学院,哈尔滨150050)摘 要:数字电子钟是一种利用数字电子技术实现计时的钟表。

本文介绍了在Multisim 14仿真软件上设计的满足要求的可调闹钟功能数字钟,对其设计原理、整体框图和各单元电路做了详细说明。

利用Multisim软件具有花费少、效率高、周期短,功能强等优势,可对数字电子钟电路进行分层设计。

将整机框图拆分成多个单元电路,再将各单元电路连线成整机电路,结构清晰,便于理解每个单元电路功能,使整机电路功能一目了然。

关键词:数字电子钟;Multisim 14;可调闹钟;反馈置数法;分层设计0 引言Multisim 14是美国NI公司研发的一款以Windows 为操作平台的EDA工具软件[1],可以对模拟、数字电路进行仿真与设计,具有丰富仿真分析能力,所以在电子技术领域以Multisim仿真软件为平台进行电路设计非常普遍。

数字电子钟是一种以数字电路技术实现计时的现代计数器,与传统机械式时钟相比,具有更高的准确性和直观性,且无机械装置,使用寿命更长,因此得到了广泛使用。

从原理上讲,数字电子钟是一种典型数字电路,包括组合逻辑电路和时序电路[2],所以,本文借助Multisim 14软件仿真数字电路便捷高效的优势,进行模块化电路设计,使得设计花费少、效率高、周期短。

1 设计任务1.1 基本功能1)应用模拟振荡电路实现正弦波时钟信号发生,并作为数字钟的时钟信号。

2)实现数字时钟计时功能,时间以24 min为1个周期。

3)用数码管显示分钟、秒。

1.2 扩展功能1)具有校时功能,可以对分钟和秒单独校时。

2)计时过程具有闹钟功能,到达指定时间(时间可选定)蜂鸣。

课程设计(论文)基于lcd液晶显示的多功能数字钟的设计(附pcb图及电路原理图)

课程设计(论文)基于lcd液晶显示的多功能数字钟的设计(附pcb图及电路原理图)

目录1前言 (1)2总体方案设计 (2)2.1设计内容 (2)2.2设计内容 (2)2.3方案论证 (3)2.4方案选择 (4)3单元模块设计 (5)3.1各单元模块功能介绍及电路设计 (5)3.1.1 温度采集电路 (5)3.1.2 DS1302时钟电路 (5)3.1.3 串行通信接口电路 (6)3.1.4 USB连接电路 (6)3.1.5 按键电路 (7)3.1.6液晶显示显示电路 (7)3.2特殊器件介绍 (7)3.2.1 STC89C52单片机芯片 (7)3.2.2 DS1302介绍 (8)3.2.3 温度传感器DS18B20 (9)3.2.4 液晶显示LCD1602 (9)4软件设计 (10)4.1软件选择 (10)4.2软件设计流程 (10)4.2.1 温度采集流程 (11)4.2.2 日期数据处理流程 (12)5系统的仿真及调试 (13)5.1系统仿真 (13)5.2硬件调试 (13)5.3软件调试 (14)6结论 (16)7总结与体会 (17)7.1设计小结 (17)7.2设计收获及改进 (17)7.3致谢 (17)8参考文献 (18)附录: (19)1前言单片机是指一个集成在一块芯片上的完整计算机系统。

尽管他的大部分功能集成在一块小芯片上,但是它具有一个完整计算机所需要的大部分部件:CPU、内存、内部和外部总线系统,目前大部分还会具有外存。

同时集成诸如通讯接口、定时器,实时时钟等外围设备。

而现在最强大的单片机系统甚至可以将声音、图像、网络、复杂的输入输出系统集成在一块芯片上。

单片机也被称为微控制器(Microcontroller),它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。

概括的讲:一块芯片就成了一台计算机。

它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。

单片机诞生于20世纪70年代末,经历了SCM、MCU、SOC三大阶段。

STC单片机完全兼容51单片机,并有其独到之处,其抗干扰性强,加密性强,超低功耗,可以远程升级,内部有专用复位电路,价格也较便宜,由于这些特点使得 STC 系列单片机的应用日趋广泛。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

课题一数字电子钟逻辑电路设计
一、简述
数字电子钟就是一种用数字显示秒、分、时、日得计时装置,与传统得机械钟相比,它具有走时准确,显示直观、无机械传动装置等优点,因而得到了广泛得应用。

小到人们日常生活中得电子手表,大到车站、码头、机场等公共场所得大型数显电子钟。

数字电子钟得电路组成方框图如图1、1所示.
图1、1
数字电子钟框图
由图1、1可见,数字电子钟由以下几部分组成:石英晶体振荡器与分频器组成得秒脉冲发生器;校时电路;六十进制秒、分计数器,二十四进制(或十二进制)计时计数器;秒、分、时得译码显示部分等。

二、设计任务与要求
用中、小规模集成电路设计一台能显示日、时、分、秒得数字电子钟,要求如下:
1.由晶振电路产生1Hz标准秒信号。

2.秒、分为00~59六十进制计数器。

3、时为00~23二十四进制计数器。

4、周显示从1~日为七进制计数器。

5、可手动校时:能分别进行秒、分、时、日得校时。

只要将开关置于手动位置,可分别对
秒、分、时、日进行手动脉冲输入调整或连续脉冲输入得校正.
6、 整点报时。

整点报时电路要求在每个整点前呜叫五次低音(500Hz ),整点时再呜叫一次高音(1000Hz)。

三、可选用器材
1、 通用实验底板
2、 直流稳压电源
3、 集成电路:C D4060、74LS74、74LS 161、74L S248及门电路
4、 晶振:32768 Hz
5、 电容:100μF/16V 、22pF 、3~22pF 之间
6、 电阻:200Ω、10K Ω、22MΩ
7、 电位器:2、2K Ω或4、7K Ω
8、 数显:共阴显示器L C5011—11
9、 开关:单次按键 10、 三极管:8050 11、 喇叭:1 W /4,8Ω
四、设计方案提示
根据设计任务与要求,对照数字电子钟得框图,可以分以下几部分进行模块化设计。

1. 秒脉冲发生器
脉冲发生器就是数字钟得核心部分,它得精度与稳定度决定了数字钟得质量,通常用晶体振荡器发出得脉冲经过整形、分频获得1Hz 得秒脉冲.如晶振为32768 Hz ,通过15次二分频后可获得1H z得脉冲输出,电路图如图1、2所示。

74LS74
1Hz
图1、2 秒脉冲发生器
2. 计数译码显示
秒、分、时、日分别为60、60、24、7进制计数器、秒、分均为60进制,即显示00~59,它们得个位为十进制,十位为六进制。

时为二十四进制计数器,显示为00~23,个位仍为十进制,而十位为三进制,但当十进位计到2,而个位计到4时清零,就为二十四进制了。

周为七进制数,按人们一般得概念一周得显示日期“日、1、2、3、4、5、6”,所以我们设计这个七进制计数器,应根据译码显示器得状态表来进行,如表1、1所示。

按表1、1状态表不难设计出“日”计数器得电路(日用数字8代替).
所有计数器得译码显示均采用BCD—七段译码器,显示器采用共阴或共阳得显示器。

表1、1状态表
3.校时电路
在刚刚开机接通电源时,由于日、时、分、秒为任意值,所以,需要进行调整。

置开关在手动位置,分别对时、分、秒、日进行单独计数,计数脉冲由单次脉冲或连续脉冲输入。

4.整点报时电路
当时计数器在每次计到整点前六秒时,需要报时,这可用译码电路来解决。


当分为59时,则秒在计数计到54时,输出一延时高电平去打开低音与门,使报时声按500Hz频率呜叫5声,直至秒计数器计到58时,结束这高电平脉冲;当秒计数到59时,则去驱动高音1KHz频率输出而鸣叫1声。

五、参考电路
数字电子钟逻辑电路参考图如图1、3所示。

日 1~日时 00~23分 00~59秒 00~59
z
7
图1、3 数字电子钟逻辑电路参考图
六、参考电路简要说明
1、 秒脉冲电路
由晶振32768H z经14分频器分频为2Hz ,再经一次分频,即得1Hz 标准秒脉冲,
供时钟计数器用。

2、 单次脉冲、连续脉冲
这主要就是供手动校时用。

若开关K1打在单次端,要调整日、时、分、秒即可按单次脉冲进行校正.如K1在单次,K2在手动,则此时按动单次脉冲键,使周计数器从星期1到星期日计数。

若开关K1处于连续端,则校正时,不需要按动单次脉冲,即可进行校正。

单次、连续脉冲均由门电路构成.
3、秒、分、时、日计数器
这一部分电路均使用中规模集成电路74LS161实现秒、分、时得计数,其中秒、分为六十进制,时为二十四进制。

从图3中可以发现秒、分两组计数器完全相同。

当计数到59时,再来一个脉冲变成00,然后再重新开始计数。

图中利用“异步清零”反馈到/CR端,而实现个位十进制,十位六进制得功能.
时计数器为二十四进制,当开始计数时,个位按十进制计数,当计到23时,这时再来一个脉冲,应该回到“零”。

所以,这里必须使个位既能完成十进制计数,又能在高低位满足“23"这一数字后,时计数器清零,图中采用了十位得“2”与个位得“4”相与非后再清零.
对于日计数器电路,它就是由四个D触发器组成得(也可以用JK触发器),其逻辑功能满足了表1,即当计数器计到6后,再来一个脉冲,用7得瞬态将Q4、Q3、Q2、Q1置数,即为“1000",从而显示“日”(8)。

4。

译码、显示
译码、显示很简单,采用共阴极LED数码管LC5011—11与译码器74LS248,当然也可用共阳数码管与译码器。

5.整点报时
当计数到整点得前6秒钟,此时应该准备报时.图3中,当分计到59分时,
将分触发器QH置1,而等到秒计数到54秒时,将秒触发器QL置1,然后通过QL与QH相与后再与1s标准秒信号相与而去控制低音喇叭呜叫,直至59秒时,产生一个复位信号,使QL清0,停止低音呜叫,同时59秒信号得反相又与QH相与后去控制高音喇叭呜叫.当计到分、秒从59:59—00:00时,呜叫结束,完成整点报时。

6.呜叫电路
呜叫电路由高、低两种频率通过或门去驱动一个三极管,带动喇叭呜叫.1KHz
与500Hz从晶振分频器近似获得。

如图中CD4060分频器得输出端Q5与Q6。

Q5输出频率为1024Hz,Q6输出频率为512Hz。

仿真图。

相关文档
最新文档