数电脉搏计数器电路课程设计

数电脉搏计数器电路课程设计
数电脉搏计数器电路课程设计

烟台南山学院

数字电子技术课程设计题目脉搏计数电路设计

姓名:___ XXXXXX ___

所在学院:_工学院电气与电子工程系

所学专业:_ 自动化

班级:___电气工程XXXX

学号:___XXXXXXXXXXXXXX

指导教师:_____ XXXXXXXX ___ 完成时间:____ XXXXXXXXXXXXX

数电课程设计任务书

一、基本情况

学时:40学时学分:1学分适应班级:12电气工程

二、进度安排

本设计共安排1周,合计40学时,具体分配如下:

实习动员及准备工作:2学时

总体方案设计:4学时

查阅资料,讨论设计:24学时

撰写设计报告:8学时

总结:2学时

教师辅导:随时

三、基本要求

1、课程设计的基本要求

数字电子技术课程设计是在学习完数字电子课程之后,按照课程教学要求,对学生进行综合性训练的一个实践教学环节。主要是培养学生综合运用理论知识的能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力。初步掌握数字电子线路的安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装调试方法。其中理论设计包括总体方案选择,具体电路设计,选择元器件及计算参数等,课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。

2、课程设计的教学要求

数字电子技术课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。

课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人的设计方法和经验。但每个学生必须单独完成设计任务,要有完整的设计资料,独立撰写设计报告,设计报告雷同率超过50%的课程设计考核按不及格处理。

四、设计题目及控制要求

设计题目:脉搏计

要求:1、实现15s内测1min的脉搏数并显示其数字

2、正常人脉搏数一般为60~150次

五、设计报告

设计完成后,必须撰写课程设计报告。设计报告必须独立完成,格式符合要求,文字(不含图形、程序)不少于2000字,图形绘制规范。设计报告的格式如下:

1、封面

2、内容提要

3、目录

4、正文

(1) 所作题目的意义、本人所做的工作及系统的主要功能;

(2) 方案选择及论证;

(2) 设计步骤及原理图;

(3) 元器件的选择;

(4) 设计过程中出现问题的解决方法;

5、心得体会

6、参考文献

六、考核方法

数电课程设计的考核方式为考查,考核结果为优秀、良好、中等、及格和不及格五等,分数在90-100之间为优秀,80-89分之间为良好,70-79分之间为中等,60-69分之间为及格,60分以下为不及格。

考核分三个方面进行:平时表现20%;设计过程25%;设计报告40%;设计答辩15%。

有下列情形之一者,课程设计考核按不及格处理:

1、设计期间累计迟到、早退达8次;

2、设计期间累计旷课达6节;

3、设计报告雷同率超过50%或无设计报告;

4、不能完成设计任务,达不到设计要求。

序言

数字电子时钟电路设计系统,以AT89C51单片机为控制核心,由键盘显示、LED 共阴极数码管和LED灯显示等功能模块组成。基于题目基本要求,本系统对时间显示进行了重点设计。本系统大部分功能由软件来实现,吸收了硬件软件化的思想,大部分功能通.过软件来实现,使电路简单明了,系统稳定性大大提高。

本文首先对基础电路进行介绍,通过对按键输入电路、时钟电路、复位电路、蜂鸣器驱动电路、显示电路、LED段码驱动电路等知识的学习,加深了对电子时钟的认识。让后利用汇编语言进行编程,控制时钟的正常运行,最终完成了课题的设计。

本文讨论的单片机多功能时钟系统的核心是目前应用极为广泛的51系列单片机,配置了外围结构,构成了一个可编程的及时定时系统,具有体积小,可靠性能高,功能多等特点,不仅能满足所需要求而且还有很多功能可供扩展,有着广泛的应用领域。

数字脉搏计_实验报告

【设计任务与要求】 1、要求用十进制数显示被测人体脉搏每分钟跳动的次数,测量范围30~160次/min; 2、要求在短时间内(5s、15s)测出脉搏数/每分钟; 3、测量范围要求在±4次/min以内; 4、要求锁定每分钟脉搏数,将测量结果通过数码管出来,共分为显示计数过程,不显示技术过程两种方案; 5、要求采用手动清零、自动清零(自启动)两种方式。 【课程方案原理框图】 【课程方案】 1、信号发生与采集将脉搏跳动信号传感器转换为与此相对应的电脉冲信号; 2、放大电路把传感器的微弱电流放大,微弱电压放大,采用高输入阻抗的非门进行放大; 3、低通滤波滤除空气中的高频,只让低频脉冲信号通过。对脉搏信号进行采集的时候,空气中交流工频干扰最大,根据有源滤波原理将其滤除。 4、整形电路可用两个非门组成的施密特触发器对放大后的信号进行整形; 5、定时电路用555定时器组成多谐振荡器,达到5s、15s的精确计时; 6、通过计数、译码、显示读出脉搏数,并以十进制数的形式由数码管显示出来。数码管采用共阴数码管。 【单元电路设计与参数计算】 1、信号发生与采集: 通过陶瓷压电传感器对脉搏进行采样收集。 2、放大与滤波电路: 将5mV的正弦信号放大为5V的正弦信号,即差模电压增益为1000。

图示为用LM324设计的同相放大器,其输出信号,Vi 为幅值为5mV 的输入信号。则另: 倍。,即正弦信号放大了可得10001000,321,33≈= Ω==Ω=Vi Vo Av K R R M R Vi R R Vo )1 3 1(+=左图为二阶低通滤波器电压增益随频率变化曲线,在f=f o 之后随f 增加,增益急剧下降,从而达到低于f 频率通过的效果

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

人体脉搏计--课程设计报告

人体脉搏计 (1) 设计内容及要求 设计题目:设计一个人体脉搏计。 内容简要:人体脉搏计的设计是基于传感器,放大电路,显示电路等基础电路的基础上,实现对人体脉搏的精确测量。其设计初衷是适用于各年龄阶段的人群,方便快捷的测量脉搏次数,并用十进制数显示出来。具体的各部分电路接下来将介绍。 传感器信号:传感器采用了红外光电转换器,作用是通过红外光照射人的手指 的血脉流动情况,把脉搏跳动转换为电信号。 放大电路:由于人体脉搏跳动经过传感器后的初始信号电压值很小,所以利用反相放大器将采集的电压信号放大约50倍。又因为该信号不规则,将接入有源滤波电路,对电路进行低通滤波的同时,再次将电压信号放大1.6倍左右。该电路使信号得到80倍的放大,充分的放大方便了后面的工作电路。 整形电路:本电路旨在采用滞回电压比较器对前面放大以后的信号进行整形,使信号更规则,最终输出矩形信号。 倍频电路 :倍频电路的作用是对放大整形后的脉搏信号进行4倍频处理,以便在15s 内测出1min 内的人体脉搏跳动次数,从而缩短测量时间,以提高诊断效率。 基准时间产生电路:基准时间产生电路的功能是产生一个周期为30s (即脉冲宽度为15s )的脉冲信号,以控制在15s 内完成一分钟的测量任务。具体各部分是由555定时器产生一个周期为0.5秒的脉冲信号,然后用一个D 触发器进行二分频得到周期为1s 的脉冲信号。再经过由74LS161构成的十五进制计数器,进行十五分频,再经D 触发器二分频,产生一个周期为30s 的方波,即一个脉宽为15s 的脉冲信号。 计数、译码、显示电路:计数器采用3个二进制计数器74LS161分别作个、十、百位,并将其设计成十进制计数器(逢十进位),再由7448译码器译码后接到七段数码管LTS547R (共阴极)上完成三位数十进制数的显示。 控制电路:控制电路的作用主要是控制脉搏信号经放大、整形、倍频后进行计数的时间,另外还具有启动电路及为各部分电路清零等功能 设计要求:最终仪器要能够实现在15s 内测量1min 的脉搏数,并且显示其十进制数字。参考值:正常人的脉搏数为60~80次/min ,婴儿为90~100次/min ,老人为100~150次/min 。所以需要三个显示数码管才能完成显示功能。 (2) 系统框图介绍及方案选择 结合以上各部分电路内容及设计要求分析,以控制电路为枢纽,将经传感器、放大整形电路、倍频电路的脉搏信号和时间信号通过控制电路实现对计数器的控制,使其能够准确的显示脉搏数。脉搏计的原理结构图如下: 根据此框图,各部分电路有如下几种设计方案:放大电路可以在同相放大器和反相放大器之间选择,二者几乎没有区别,在此选择使用反相比较器;整形电路可以用555构成的施密特触发器或者由运放组成的迟滞电压比较器,考虑到运放的使用较555简单方便,图1 脉搏计结构框图 控 制 电 路 基准时间产生电路 计数 译 码 显示 传感器 放大与整形 倍频器

电子技术课程设计——红外线心率计

电子技术课程设计 报告 专业: xxxx 班级: xxxxx 姓名: xxx 学号: xxxxxxxx 指导教师: xxx 完成日期: xxxx年x月x日 目录 一、设计目的------------------------------3 二、设计要求------------------------------3 三、设计指标------------------------------3 四、设计框图及整机概述--------------------3 五、各单元电路的设计及仿真-----------------4 1、检测电路-----------------------------4 2、放大电路-----------------------------5 3、滤波电路-----------------------------5 4、整形电路-----------------------------6 5、倍频电路-----------------------------6

6、定时电路-----------------------------7 7、计数电路-----------------------------7 8、译码电路-----------------------------8 9、显示电路-----------------------------9 六、电路装配、调试与结果分析---------------9 七、设计、装配及调试中的体会---------------10 八、附录(包括整机逻辑电路图和元器件清单)-10 九、参考资源-------------------------------11 一、设计目的 电子技术综合设计是综合应用模技术拟电子技术、数字电子技术、电子设计自动化技术进行电子系统的综合设计。本课程设计通过电子脉搏测试仪的设计,要求学生对红外线心率计的电路布局、安装、调试,让学生了解电子产品的生产工艺流程,掌握常用元器件的识别和测试及电子产品生产基本操作技能,培养学生的动手能力。 二、设计要求 脉搏测试仪是用来测量一个人心脏跳动次数的电子仪器,也是心电图测量的组要部分。本次课程设计要求用红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算出每分钟波动的次数。 三、设计指标 1、设计一个脉搏测试仪,要求实现在30s内测量1min的脉搏数,并且显示其数字。正常人脉搏数为60-80次/min,婴儿为90-100次/min,老人为100-150次/min。可自行设计所需的直流电源。 2、设置指示电路指示直流电源的正常与否。 3、放大电路之后设置指示电路指示放大电路的正常与否。 4、放大电路放大倍数可调。 5、整形电路输出的方波占空比可调。

数字电路实验计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 :黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

人体脉搏计

电子课程设计目录 第一部分电子课程设计题目及要求 1.题目 (1) 2.设计目的 (1) 3.设计内容及要求 (1) 4.脉搏计的基本原理 (1) 第二部分设计方案 1. 提出方案 (2) 2. 方案比较 (3) 第三部分电路设计与分析 (4) 1. 信号发生与采集 (4) 2. 放大电路 (4) 3.有源滤波电路 (5) 4.整形电路 (7) 5.倍频器 (9) 6.基准时间产生电路 (10) 6.1 NE555定时器 (10) 6.2 用555定时器构造施密特触发器 (11) 6.3 用施密特触发器构造多谐振荡器 (12) 7.计数译码器 (13) 7.1 计数电路 (13) 7.2 译码显示 (14) 8.控制电路 (17) 第四部分所用元件及实验心得 (18) 1.元件列表 (18) 2.实验心得 (18) 3.参考文献 (18) 附:总原理图 (19)

第一部分电子课程设计题目及要求 1. 题目人体脉搏计 2.设计目的 2.1熟悉脉搏计电路的组成、工作原理和设计方法。 2.2掌握多谐振荡器、倍频器、计数器、译码器等的工作原理、使用方法、特点、用途及主要参数的计算方法。 2.3熟悉集成电路74LS00、74LS161、CC4518、CC4511、晶闸管、有源滤波电路的特点、用途及主要参数的选择方法。 3.设计内容及要求 3.1设计题目:设计一个脉搏计。 3.2要求:实现在15s内测量1min的脉搏数,并且显示其数字。正常人的脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。 3.3放大与整形电路 放大电路:电压放大倍数u A 约为11倍,选R 4 =100 KΩ,C 1 =100μF。试选择其它元 件参数。有源滤波电路:电压放大倍数选用1.6倍左右。运放可均采用LM324,也可选其它型号运放。 整形电路:选用滞回电压比较器,集成运放采用LM339,其电路参数如下:R 10 =5.1KΩ, R 11=100 KΩ,R 12 =5.1 KΩ。 倍频电路:异或门选用可采用CC系列、也可采用TTL系列。基准时间产生电路:试选择电路其它未知参数。 计数、译码、显示电路:试选择电路其它未知参数。 控制电路:试选择电路其它未知参数。 4.脉搏计的基本原理 分析设计题目要求脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分。由给出的设计技术指标可知,脉搏计是用来测量频率较低的小信号(传感器输出电压一般为几个毫安),它的基本功能应该是 ①用传感器将脉搏的跳动转换为电压信号,并加以放大整形和滤波。 ②在短时间内(15s内)测出每分钟的脉搏数。 简单脉搏计的框图如图1所示。 图1.1 脉搏计原理框图

数字式脉搏计设计与制作

《数字电子技术》课程设计报告 班级电气1077 学号 1071206138 学生姓名缪亮亮 专业电气工程及其自动化 系别电子与电气工程学院 指导教师电子技术课程设计指导小组 淮阴工学院 电子信息工程系 2009年12月

1、设计目的: a) 培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产 实际知识去分析和解决工程实际问题的能力。 b) 学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知 识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。 c) 进行基本技术技能训练,如基本仪器仪表的使用,常用元器件的识别、测 量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。 d) 培养学生的创新能力。 2、设计要求: 要求:实现在15S内测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。 1、主要单元电路和元器件参数计算、选择; 2、画出总体电路图; 3、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。焊接完 毕后,应对照电路图仔细检查,看是否有错接、漏接、虚焊的现象。 4、调试电路 5、电路性能指标测试 3、总体设计: 3·1数字脉搏测试仪原理方框图

上图即为数字式脉搏计的总体设计框图。该数字式脉搏计由以下几部分组成:1)脉冲产生电路 2)放大整形电路 3)计数电路 4)定时电路 5)译码显示电路 3·2电路组成及工作原理 数字式脉搏计设计的思路是:先由压电陶瓷片YD将拾取的脉搏跳动信号转换成电信号,经LM324放大整形后,送到由CD4553和CD4511组成的计数显示电路。CD4553内部输入端设置了脉冲整形电路,所以对脉冲无甚特殊要求。它只有一组BCD 码输出,但通过内部分时控制可形成三位十进制数字显示。CD4511是译码器,其输出驱动三位LED共阴数码管。BG1、BG2、BG3分别由CD4553的15、1、2脚控制实现三位数码管的分时显示。CD4060组成计数闸门设定电路,R5、R6、C6与其内部电路组成振荡器,振荡器信号经内部213次分频后,由2脚输出延时 60 秒的正脉冲加到CD4553的11脚关闭闸门。使用时,用手表带或松紧带将压电陶瓷片压在手腕的挠动脉处,注意一定要压紧。在合上开关 K1 后即按一下复位开关 K2,使CD4060和CD4553清零,这时计数闸门打开,脉搏信号由CD4553进行计数。1分钟后,CD4060输出一高电平,使计数闸门关闭。这时数码管显示的数字即为每分钟的脉搏数。 3·3各框图的功能和可选电路及特点 1)脉冲产生电路:2)放大整形电路:可选LM324 3)计数电路:可选CD4553 4)定时电路:可选CD4060 5)译码显示电路:可选CD4511驱动共阴极数码管。 3·4电路制作所需的工具 3·5元器件列表

数电脉搏计数器电路课程设计

烟台南山学院 数字电子技术课程设计题目脉搏计数电路设计 姓名:___ XXXXXX ___ 所在学院:_工学院电气与电子工程系 所学专业:_ 自动化 班级:___电气工程XXXX 学号:___XXXXXXXXXXXXXX 指导教师:_____ XXXXXXXX ___ 完成时间:____ XXXXXXXXXXXXX

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分适应班级:12电气工程 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是在学习完数字电子课程之后,按照课程教学要求,对学生进行综合性训练的一个实践教学环节。主要是培养学生综合运用理论知识的能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力。初步掌握数字电子线路的安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装调试方法。其中理论设计包括总体方案选择,具体电路设计,选择元器件及计算参数等,课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。 2、课程设计的教学要求 数字电子技术课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人

脉搏计课程设计报告

报告成绩 电子电路综合实验报告 学生:贺杰 学号:1410404006 专业年级:2014级通信工程4班 指导教师:周妮讲师 起止日期:2016年3月—2016年6月 电气与信息工程学院 2016年6月3日

目录 1目的与意义 (1) 3 方案设计 (1) 4 系统硬件设计 (3) 5仿真调试与分析 (10) 6结论与体会 (10) 参考文献 (10) 附录 (11) 附录A 系统实物图 (11)

摘要:电于脉搏计可以连续台动地测量手术或重危病人的脉搏,也可以用于健康管理,运动员的训练等方面,为提高运用电子技术基本知识进行理论设计、实践创新以及独立工作、团队合作的能力,通过实践制作一个数字频率计,学会合理的利用集成电子器件制作基于数字电路和模拟电路的课程设计与制作。电子脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分,它是用来测量频率较低的小信号。 1目的与意义 一、目的: 1、掌握组合逻辑电路的工作原理及设计方法。 2、学会安装和调试分立元件与集成电路组成的电子电路小系统。 二、意义 对于医院的危重病人,或者在其他一些特殊场合,需对人的脉搏进行连续检测,本课题即针对这一需求,设计一台简易的电子脉搏计。 1、制作要求 实现在15S测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min 婴儿为90~100次/min,老人为100~150次/min。(只考虑数字部分,即输入波形视为矩形波) 2、制作步骤 (1)拟定测试方案和设计步骤,填写真值表; (2)根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (3)进行相应的仿真测试; (4)设计、调试和安装电路并测试; (5)撰写设计报告。 2 方案设计 电子脉搏计是由脉搏计数器和控制时间的定时电路所组成,并且还要在15S测量出1min的脉搏数。所以,我们先按要求,分开设计各个功能的电路图,然后再组合连接成一个完整的按要求的电子脉搏计。 方案一:

电子脉搏计课程设计

电子脉搏计 课 程 设 计 报 告 组长:蔡新源 组员:史志华、张重彬、李海磊、杨威力、刘世洋、孙景伟、冀鹏辉、杨冠军、李峰 朝

目录 摘要 (3) 第一章绪论 (4) 第二章各种元器件及其应用 (5) 1、集成同步计数器及其应用张重彬 (5) 2、BCD-七段共阴数码管史志华 (7) 3、74LS161 计数器的应用杨威力 (10) 4、五进制的自循环冀鹏辉 (12) 5、集成同步计数器及其应用刘世洋 (13) 6、用 74LS161构成一个十进制计数器李海磊 (14) 7、四进制的自循环杨冠军 (15) 8、用 74LS290设置七进制计数器李峰朝 (17) 9、七进制的自循环孙景伟 .. 19 第三章数字脉搏计时器的方案比较 (21) 3.1方案论证 (21) 3.2提出方案 (21) 3.3方案比较 (23) 第四章单元电路的设计 (23) 4.1电路总体框图 (23) 4.2采集、放大与整形电路 (23) 4.2.1传感器 (24) 4.2.2放大电路 (24) 4.2.3整形电路 (25) 4.3倍频电路 (26) 4.4基准时间产生电路 (28) 4.5 计数、译码、显示电路 (28) 4.6 控制电路 (31)

总结 (32) 摘要 人体脉象中富含有关心脏、内外循环和神经等系统的动态信息。而脉搏的病理生理性改变常引发各种心血管事件,脉搏生理性能的改变可以先于疾病临床症状出现,通过对脉搏的检测可以对如高血压和糖尿病等引起的血管病变进行评估。同时脉搏测量还为血压测量,血流测量及其他某些生理检测技术提供了一种生理参考信号。 本文主要介绍了数字式脉搏计的具体实现方法,利用压电传感器产生脉冲信号,经过放大整形后,输入单片机内进行相应的控制,从而测量出一分钟内的脉搏跳动次数,快捷方便。通过观测脉搏信号,可以对人体的健康进行检查,通常被用于保健中心和医院。 关键词脉搏计;脉冲信号;压电传感器

数电课设报告 电子脉搏计设计

数字电子技术课程设计报告题目:电子脉搏计设计 班级: 姓名: 指导老师: 组号: 2

目录 第一章设计设计任务及要求 (1) 第二章方案设计与论证 (1) 2.1方案一 (1) 2.2方案二 (2) 2.3总结方案 (2) 2.4设计原理及方框图 (3) 第三章各单元电路电路设计与分析 (3) 3.1四倍频电路 (3) 3.2脉搏计数电路 (4) 3.3计时控制电路 (5) 3.4时钟信号产生电路 (6) 3.5译码显示电路 (7) 第四章总体电路原理图及元件清单 (7) 第五章电路仿真及仿真结果分析 (9) 第六章作品照片图 (10) 第七章心得体会 (11) 第八章参考文献 (12) 第九章附页 (13)

电子脉搏计 一、设计设计任务及要求 用中小规模集成块模拟世贤电子脉搏计逻辑控制电路的具体要求如下: (1)实现在15s内测量1min的脉搏数。 (2)用数码管将测得的脉搏数用数字的形式显示。 (3)正常人的脉搏数为60~80次/min,婴儿的为90~100次/min,老人为100~150次/min,可通过与上述正常脉搏数比较,给出测脉搏人的脉搏数高出或低出正常范围的数值。 二、方案设计与论证 方案一 1.传感器将脉搏跳动信号转换为与此相对应的电脉冲信号。 2.放大整形电路把传感器的微弱电流放大,微弱电压放大。 3.四倍频器将整形后所得到的脉冲信号的频率提高。如将15s内传感器所获得的信 号频率4倍频,即可得到对应一分钟的脉冲数,从而缩短测量时间。 4.控制电路用555定时器以保证在基准时间控制下,使4倍频后的脉冲信号送到计数、显示电路中。 5.计数、译码、显示电路用来读出脉搏数,并以十进制数的形式由数码管显示出。 6.电源电路按电路要求提供符合要求的直流电源。上述测量过程中,由于对脉冲 进行了4倍频,计数时间也相应地缩短了4倍(15s),而数码管显示的数字却是lmin的脉 搏跳动次数。用这种方案测量的误差为±4次/min,测量时间越短,误差也就越大。 方案二 与方案一相比,信号发生与采集、定时电路、计数译码显示电路不变。其他有所改变。 2)放大电路用普通运放进行发大,为达到高输入阻抗的要求,采用同相比例放大。 3)低通滤波在运放的反馈电阻上并联一个电容,达到滤波的效果。 4)整形电路通过运放组成的单限比较器进行脉冲整形。 方案二的放大电路除了在阻抗匹配方面略显弱势之外,使用更为普遍。为了探索非门 再放大方面的应用,选择了方案一。 总结方案:

课程设计————电子心率计的设计

课程设计说明书正文 1:任务分析与方案设计 心率计是用来测量一个人心脏单位时间内跳动次数的电子仪器,由于人体各部位心率一致,所以通常测量人手臂处的脉搏即可测出人体心率。任务要求测出的心率为一分钟内心跳的次数,并显示,测量结果要与标准范围作比较,不在标准范围内则报警。 设计方案为:采用传感器,量脉搏的跳动,出微弱的信号,入放大器中放大;后通过滤波器滤除干扰信号后,将形整形为方波或脉冲信号;将其作为计数控制信号,用基准时间一定的方波作为计数脉冲在一个心跳周期内计数,计数值N 与基准时间T 的乘积就是一次心跳的时间。再对“60/基准时间T ”个脉冲进行N 分频,对分频后的信号计数,其计数值则为本次心率数值。之后计数器计数值输入到显示器中显示,同时,将其输入的频率进行F/V 转换后与标准电压值作比较,若,测量值不在标准值范围内则报警,即LED 灯亮。流程图如下。 2:电路设计,元器件参数计算及选择 2.1:传感器的选择 :

红外线检测原理: 随着心脏的博动,人体组织半透度随之改变,当血液流回心脏,组织半透度增大,这种现象在人体组织较薄的指尖、耳垂等部位最明显。用红外发光二极管产生红外线照射到人体上述部位,并用装在一旁的红外光电管来检测机体组织的透明度并转换成电信号,其信号频率与脉搏频率相对应并且其为低频近似的正弦信号。 TCRT5000(L)具有紧凑的结构发光灯和检测器安排在同一方向上,利用红外光谱反射对象

电压跟随器的显著特点就是,输入阻抗高,而输出阻抗低,一般来说,输入阻抗要达到几兆欧姆是很容易做到的。输出阻抗低,通常可以到几欧姆,甚至更低。 在电路中,电压跟随器一般做缓冲级及隔离级。因为,电压放大器的输入阻抗一般比较高,通常在几千欧到几十千欧,如果后级的输出阻抗比较小,那么信号就会有相当的部分损耗在前级的输出电阻中。在这个时候,就需要电压跟随器来从中进行缓冲。起到承上启下的作用。应用电压跟随器的另外一个好处就是,提高了输入阻抗,这样,输入电容的容量可以大幅度减小,为应用高品质的电容提供了前提保证]1[。 仿真图: 黄色信号(下)为输入信号。 蓝色信号(上)为输出信号。 由图中可以看出,输入输出信号基本相等。 2.3:放大电路的设计 传感器输出为微弱信号,需进行放大后才便于后续电路的处理。考虑到后续电路中滤波器电路也具有信号放大的功能,所以放大器的放大倍数不宜过大,初 步选择为660倍。设计电路的原理如下:

数电实验 计数器电路

实验5 计数器实验电路 1实验目的 1.1掌握计数器的工作原理及特性 1.2采用触发器及集成计数器构成任意进制计数器 2实验仪器与元器件 2.1实验仪器 数字电路实验箱、数字万用表、示波器 2.2芯片 74LS00/74ls04 74LS48 74LS161 共阴数码管电位器电阻等其它元件若干 3预习要求 3.1 预习计数器相关内容。 3.2 作出预习报告。 4实验原理 计数器是用来实现计数功能的时序部件,它能够计脉冲数,还可以实现定时、分频、产生节拍脉冲和脉冲序列等。计数器的种类很多,按时钟脉冲输入方式的不同,可以分为同步计数器和异步计数器。按进位体制不同,可以分二进制和非二进制计数器。按计数的增减趋势,可分加法或减法计数器等。目前,无论是TTL还是CMOC集成电路,都有品种齐全的中规模集成计数电路。作为使用者可以借助器件手册提供的功能表和工作波形以及引脚分布图,就能正确地使用这些器件。 4.1异步计数器

异步计数器是指计数脉冲不是直接加到所有触发器的时钟脉冲端。这样, 当一个计数脉冲作用后,计数器中某些触发器的状态发生变化,而其它触发器保持原来状态,即计数器中各触发器状态的更新与输入时钟脉冲异步。 在设计模为整数N 的异步计数器时,如果K N 2=,则为二进制计数器,例 如设计一个4位二进制计数器,1624==N ,K=4,用4个触发器级联即可。如果N 不等于2的整次幂,则是非二进制计数器,这时,可将N 写N=1*2N K 其中1N 为奇数,这样由模为K 2和模为1N 的两个计算器级联而成,其中模为1N 的计数器通常用反馈的方法构成.例如设计一个异步十进制计数器,可令 K 2=12,1N =5,就是用一个模2计数器和一个模5计数器级联.图7.1所示集成 接在各位触发器的时钟脉冲输入端,当计数脉冲来到时,应该翻转的触发器在同一时刻翻转。因此,同步计数器的工作速度比异步计数器快。同步计数器的设计可按“状态表+卡诺图+写出各触发器控制输入端的逻辑方程”,进行,然后画出逻辑电路。也可以根据状态表中各触发器输出的变化规律,直接写出各触发器控制输入端的逻辑方程,最后画出逻辑电路图。例如设计一个同步十进制加法计数器,其状态转换表如表7.1所示。采用双JK 触发器74LS76,通过分析状态转换表,可得到各触发器控制输入端的逻辑方程如下。

数字电路课程设计红外线心率计

数字电子技术课程设计报告指导老师:严国红、夏海霞 姓名: 学号: 班级:

1产品简介 红外线心率计就是通过红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算 出每分钟波动的次数。但手指中的毛细血管的波动是很微弱的,因此需要一个高放大倍数且低噪声的放大器,这是红外线心率计的设计关键所在。通过本产品的制作,可以使学生掌握常用模拟、数字集成电路(运算放大器、非门、555定时器、计数器、译码器等)的应用。 2红外线心率计工作原理 2.1 红外线心率计的原理框图 整机电路由-10V电源变换电路、血液波动检测电路、放大整形滤波电路、3位计数器电路、门控电路、译码驱动显示电路组成,如图1所示。 图1红外线心率计的原理框图 2.2 单元电路的工作原理 ⑴负电源变换电路 为简化实验的步骤,实验中直接用+12V、和-10V的电源代替负电源变换电路。 ⑵血液波动检测电路 实验中采用信号源发生器直接产生正弦波代替原来的血液波动检测电路。 ⑶放大、整形、滤波电路 放大、整形、滤波电路是把传感起检测到的微弱电信号进行放大、整形、滤波,最后输出反映心跳频率的方波,如图5所示。其中LM741为高精度单运放电路,它们的引脚功能如 图 3 (b)所示。IC2、IC3、IC4都为LM741。 + 12V

图5信号放大、整形电路 因为传感器送来的信号幅度只有2?5毫伏,要放大到10V左右才能作为计数器的输入脉 冲。因此放大倍数设计在4000倍左右。两级放大器都接成反相比例放大器的电路,经过两级放大、反相后的波形是跟输入波形同相、且放大了的波形。放大后的波形是一个交流信号。 其中A i、A的供电方式是正负电源供电,电源为+12V、-10V。 A i、A与周围元件组成二级放大电路,放大倍数A uf为: R 4 R8 A uf 4一= 66 66 4000 R 3 R 6 由于放大后的波形是一个交流信号,而计数器需要的是单方向的直流脉冲信号。所以经 过V s检波后变成单方向的直流脉冲信号,并把检波后的信号送到RC两阶滤波电路,滤波电路 的作用是滤除放大后的干扰信号。R、V4组成传感器工作指示电路,当传感器接收到心跳信号 时,V4就会按心跳的强度而改变亮度,因此V4正常工作时是按心跳的频率闪烁。直流脉冲信 号滤波后送入A s的同相输入端,反相输入端接一个固定的电平,A s是作为一个电压比较器来 工作的,是单电源供电。当A的3脚电压高于2脚电压的时候,6脚输出高电平;当A的3 脚电压低于2脚电压的时候,6脚输出低电平,所以A s输出一个反应心跳频率的方波信号。 ⑷门控电路 555定时器是一种将模拟电路和数字电路集成于一体的电子器件,用它可以构成单稳态 触发器、多谐振荡器和施密特触发器等多种电路。555定时器在工业控制、定时、检测、报 警等方面有广泛应用。 555定时器内部电路及其电路功能如图6(a)、(b)所示。555内部电路由基本RS触发器FF、比较器COMP COMP和场效应管V1组成(参见图6(a))。当555内部的COMP反相输入端(-)的输入信号V R小于其同相输入端(+)的比较电压V CO(VCO二"IV DD)时,COMP俞出高电位,置触发器FF为低电平,即Q=0;当COMP同相输入端(+)的输入信号V S大于其反相输入端(-)的比较电压VCd2(1/3V DD)时,COMP俞出高电位,置触发器FF为高电平,即Q=1。R D是直接复位端,R D=0 , Q=0 MOSf V是单稳态等定时电路时,供定时电容C对地放电

测试技术课程设计脉搏测量仪

《机械工程测试技术》 课程设计 脉搏测量仪的设计 姓名:张峰 学院:机电工程学院 专业:机械设计制造及其自动化班级:2010级本科4班 学号:201015130457 完成日期:2012年12月28日

摘要 医院的护士每天都要给住院的病人把脉记录病人每分钟脉搏数,方法是用手按在病人腕部的动脉上,根据脉搏的跳动进行计数。为了节省时间,一般不会作1分钟的测量,通常是测量10秒钟时间内心跳的数,再把结果乘以6即得到每分钟的心跳数,即使这样做还是比较费时,而且精度也不高。本文介绍一种用单片机制作的脉搏测量仪,只要人把手指放在传感器内2秒钟就可以精确测量出每分钟脉搏数,测量结果用三位数字显示。 关键词:AT89C2051;单片机;脉搏测量仪

目录 第一章引言 (1) 第二章基本结构模块 (2) 2.1脉搏波检测电路 (2) 2.2脉搏信号拾取电路 (2) 2.3信号放大 (3) 2.4波形整形部分 (5) 第三章整体电路分析 (7) 3.1光发射电路 (7) 3.2光电转换电路 (7) 3.3信号采集及处理系统 (8) 3.4过采样技术的应用 (8) 3.5整体硬件电路设计 (9) 参考文献 (10)

第一章引言 脉搏测量属于检测有无脉博的测量,有脉搏时遮挡光线,无脉搏时透光强,所采用的传感器是红外接收二极管和红外发射二极管。用于体育测量用的脉搏测量大致有指脉和耳脉二种方式。这二种测量方式各有优缺点,指脉测量比较方便、简单,但因为手指上的汗腺较多,指夹常年使用,污染可能会使测量灵敏度下降;耳脉测量比较干净,传感器使用环境污染少,容易维护。但因耳脉较弱,尤其是当季节变化时,所测信号受环境温度影响明显,造成测量结果不准确。 从脉搏波中提取人体的生理病理信息作为临床诊断和治疗的依据,历来都受到中外医学界的重视。几乎世界上所有的民族都用过“摸脉”作为诊断疾病的手段。脉搏波所呈现出的形态(波形)、强度(波幅)、速率(波速)和节律(周期)等方面的综合信息,在很大程度上反映出人体心血管系统中许多生理病理的血流特征,因此对脉搏波采集和。 处理具有很高的医学价值和应用前景。但人体的生物信号多属于强噪声背景下的低频的弱信号, 脉搏波信号更是低频微弱的非电生理信号, 必需经过放大和后级滤波以满足采集的要求。

数电实验计数器电路

数电实验计数器电路 SANY标准化小组 #QS8QHH-HHGX8Q8-GNHHJ8-HHMHGN#

实验5 计数器实验电路 1实验目的 掌握计数器的工作原理及特性 采用触发器及集成计数器构成任意进制计数器 2实验仪器与元器件 实验仪器 数字电路实验箱、数字万用表、示波器 2.2 芯片 74LS00/74ls04 74LS48 74LS161 共阴数码管 电位器 电阻等其它元件若干 3预习要求 预习计数器相关内容。 作出预习报告。 4实验原理 计数器是用来实现计数功能的时序部件,它能够计脉冲数,还可以实现定时、分频、产生节拍脉冲和脉冲序列等。计数器的种类很多,按时钟脉冲输入方式的不同,可以分为同步计数器和异步计数器。按进位体制不同,可以分二进制和非二进制计数器。按计数的增减趋势,可分加法或减法计数器等。目前,无论是TTL 还是CMOC 集成电路,都有品种齐全的中规模集成计数电路。作为使用者可以借助器件手册提供的功能表和工作波形以及引脚分布图,就能正确地使用这些器件。 异步计数器 异步计数器是指计数脉冲不是直接加到所有触发器的时钟脉冲端。这样,当一个计数脉冲作用后,计数器中某些触发器的状态发生变化,而其它触发器保持原来状态,即计数器中各触发器状态的更新与输入时钟脉冲异步。 在设计模为整数N 的异步计数器时,如果K N 2=,则为二进制计数器,例如设计一个 4位二进制计数器,1624==N ,K=4,用4个触发器级联即可。如果N 不等于2的整次幂,则是非二进制计数器,这时,可将N 写N=1*2N K 其中1N 为奇数,这样由模为K 2和模为1N 的两个计算器级联而成,其中模为1N 的计数器通常用反馈的方法构成.例如设计一个异步十进制计数器,可令K 2=12,N =5,就是用一个模2计数器. T '触发器,+写出各触发

心率计设计

附重庆大学本科学生课程设计任务书

电子心率计设计说明书 一前言 随着社会的发展与进步,我们的生活节奏也越来越快,面对每天繁忙的工作生活,我们不一定能像以前那样定期抽出时间去为自己身体做一次体检。而事实上我们身体承受的负荷却越来越大,相比于以前我们需要给自己的身体以更多的关注,甚至是时刻了解它的健康状况。身体的健康与否在很多方面都会有所体现。比如一个人的心率值就基本能反映一个人心脏是否正常工作的。大家都知道心脏是我们人体中最重要的器官之一,使我们生命的源动力。所以我们能时刻了解它的状态是很重要的。由于我们平时不一定总是能抽出时间去做体检,所以我们需要一个简单的,便于操作的,可靠性高的仪器来帮助我们在短时间内测到我们的心率值。让我们能及时了解到我们现在心脏以及身体的状态。 附心率的生理意义 人的心脏比握紧的拳头稍大,平均重量为300g。它是人体内“泵器官”,负责人体血液循环。心脏每天跳动超过10万次,累计使8千多公升的血液,流经约1万9千公里长的动静脉,从而维持血液循环。心脏有四个腔,分别是左心房、右心房、左心室和右心室。右心房接受全身各器官回流的含氧低静脉血并输入右心室,右心室把血液泵入肺脏进行氧气与二氧化碳的气体交换。左心房将自肺脏返回的含氧高的动脉血输入左心室,左心室再将血液输送至全身器官。从我们出生的那一刻起,心脏便24小时不停地工作,为全身输送氧气和养分。心脏能够这样周而复始地有规律地工作,是因为心脏有一个天然的起搏器——窦房结,它能自发地、有节律地发放电脉冲,并沿着结间束、房室结、希氏束和左右束支这一固定的激动传导途径由上向下传遍整个心脏,使心脏各个腔室顺序收缩,完成运送血液的工作。心脏的正常工作要求心脏节律发放和传导系统的结构和功能正常。心率(heart rate)指心脏分钟搏动的次数,它能够反映心脏的工作状态。正常心率决定于窦房结的节律性,成人静息时约60~100次/min,平均约75次/min。心率可因年龄、性别及其他因素而变化。初生儿心率约130次/min,随年龄增长而逐渐减慢,至青春期乃接近成人的心率。女性心率比男性稍快;运动员心率较慢。成人安静心率超过120次/min者,为心动过速;低于40次/min者为心动过缓。心率受植物性神经和体液因素调节。安静或睡眠时,心迷走中枢紧张性增高,心交感中枢紧张性降低,心率减慢。运动、情绪激动、精神紧张时,心迷走中枢紧张

简易电子脉搏计设计

简易电子脉搏计的设计 标签: 脉搏计电子设计2009-11-09 11:35 电子脉搏计设计 一、设计任务与要求 为提高运用电子技术基本知识进行理论设计、实践创新以及独立工作、团队合作的能力,通过实践制作一个数字频率计,学会合理的利用集成电子器件制作基于数字电路和模拟电路的课程设计与制作。 电子脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的 主要组成部分。它是用来测量频率较低的小信号。 要求: (1)实现在1min内测量脉搏数; (2)用数码管将测得的脉搏数用数字的形式显示; (3)测量误差小于±4次/min。 二、方案设计与论证 1.设计框图 方案一 1)信号发生与采集将脉搏跳动信号传感器转换为与此相对应的电脉冲信号。

2)放大电路把传感器的微弱电流放大,微弱电压放大。可采用高输入阻抗的非门进行放大。 3)低通滤波滤除空气中的高频,只让低频脉冲信号通过。对脉搏信号进行采集的时候,空气中交流工频干扰最大,根据有源滤波的原理,在接至非门的输入与输出之间作为直流偏置电阻上并联一个电容。 4)整形电路可用两个非门组成的施密特触发器对放大后的信号进行整形。 5)定时电路用555定时器组成的单稳态触发器进行1分钟的精确定时。 6)计数、译码、显示用来读出脉搏数,并以十进制数的形式由数码管显示出来。片CD40110有计数译码功能,数码管采用共阴数码管。 方案二 与方案一相比,信号发生与采集、定时电路、计数译码显示电路不变。其他有所改变。 2)放大电路用普通运放进行发大,为达到高输入阻抗的要求,采用同相比例放大。 3)低通滤波在运放的反馈电阻上并联一个电容,达到滤波的效果。 4)整形电路通过运放组成的单限比较器进行脉冲整形。 方案二的放大电路除了在阻抗匹配方面略显弱势之外,使用更为普遍,。为了探索非门再放大方面的应用,选择了方案一。 三、单元电路设计与参数计算 1.信号发生与采集 脉搏传感器的作用是将脉搏信号转换为响应的电冲信号。脉搏传感器是脉象检测系统中重要的组成部分,其性能的好坏直接影响到后置电路的处理和结果的显示。目前典型的脉搏传感器有以下三种:光电类、压阻类和压电类。在这三种

实验四-模10计数器与20分频电路

●实验名称:利用VerilogHDL设计一个模10加法计数器和一个时钟20分频电路 ●实验目的: 1.熟悉用可编程器件实现基本时序逻辑电路的方法。 2.了解计数器的Verilog描述方法,以及偶数分频的思路与原理。 ●预习要求: 1.回顾数字电路中加法计数器的相关知识。 ●实验说明: 1.用MAX+plus II软件开发PLD器件有两种设计输入方式:原理图输入和HDL语言 输入方式,或者将两者结合起来,一部分电路采用原理图,另一部分采用HDL语 言。 2.加法计数器表示随着时钟脉冲的输入,计数器从0开始正向计数,直到计满规定的 模值后归零,然后依次循环计数。模10计数器表示,计数器从0000~1001循环计 数。 3.时钟分频电路的功能是,对输入的时钟频率进行偶数倍的降频(倍增其周期),20 分频意味着分频后产生的新时钟周期是输入时钟的20倍(频率降为原频率1/20)。 ●实验内容与步骤: 1.新建一个属于自己的工程目录。 2.用VerilogHDL语言方式编写一个模10加法计数器cnt_10。 3.对此计数器模块进行编译和仿真。 4.用VerilogHDL语言方式编写一个20分频模块fenpin_20,对输入时钟进行20分频 处理。 5.对此分频电路进行仿真。 ●实验报告要求: 1.将自己绘制的电路图或者编写的VerilogHDL代码,截图或者复制到实验报告中。 2.将代码关键位置写上相应注释(可用中文)。 3.对仿真波形截图,贴到实验报告中。 ●实验图表与数据: 1. 模10加法计数器cnt_10的V erilog代码:

2. 模10加法计数器cnt_10的仿真波形: 3. 10分频模块fenpin_10的Verilog代码: 4. 10分频模块fenpin_10的仿真波形:

相关文档
最新文档