数字电子技术A大纲

数字电子技术A大纲
数字电子技术A大纲

《数字电子技术A》课程教学大纲

课程代码:030031105

课程英文名称:Digital Electronic Technology

课程总学时:56 讲课:48 实验:8上机:0

适用专业:信息学院及自动化学院各专业(除通信、计算机、电子科学与技术专业)

大纲编写(修订)时间:2017.11

一、大纲使用说明

(一)课程的地位及教学目标

1. 本课程是信息学院及自动化学院各专业的专业基础课,是必修课。

2.通过本课程的学习,使学生熟悉数字电路的基本原理及特性并且在此基础之上能够掌握其分析方法和设计方法。学习数字电子技术课程,对培养学生的科学思维能力,树立理论联系实际的工程观点和提高学生分析和解决问题的能力,都有及其重要的作用。

(二)知识、能力及技能方面的基本要求

1.通过本课程的学习使学生掌握数字电路的基本原理。

2.掌握逻辑代数分析的理论方法,使学生掌握组合逻辑电路,时序逻辑电路分析与设计的基本方法。

3. 使学生了解数字系统设计方法。

(三)实施说明

课程各章节内容在重点、深度和广度方面的说明:

1.用真值表、逻辑表达式、逻辑图、波形图、卡诺图、状态图等描述逻辑问题的方法。

2.化简或变换逻辑函数的公式法和卡诺图法。

3.TTL和CMOS门电路的特性及特点。

4.掌握组合逻辑电路的分析方法与设计方法。

5.掌握使用MSI实现简单数字系统的一般方法。

6.掌握各种基本、同步以及边沿触发器使用方法和基本原理。

7.掌握时序逻辑电路的分析和设计方法。

8.掌握集成计数器的设计和使用方法。

(四)对先修课的要求

本课程的先修课为:《电路》及《模拟电子技术》(可与模拟电子技术并行)。

(五)对习题课、实验环节的要求

1.对重点、难点章节应安排习题课,例题的选择以培养学生消化和巩固所学知识,用以解决实际问题为目的。

2.课后作业要少而精,内容要多样化,作业题内容必须包括基本概念、基本理论及设计方面的内容,作业要能起到巩固理论,掌握计算方法和技巧,提高分析问题、解决问题能力,熟悉标准、规范等的作用,对作业中的重点、难点,课上应做必要的提示,并适当安排课内讲评作业。学生必须独立、按时完成课外习题和作业,作业的完成情况应作为评定课程成绩的一部分。

(六)课程考核方式

1.考核方式:考试。

2.考试目标:重点考核本课程的基本概念、基本知识、基本技能和知识应用能力。

3.成绩构成:本课程的总成绩主要由三部分组成:平时成绩(包括作业情况、出勤情况、小测验、课堂提问等)占20%,实验成绩10%,期末考试成绩占70%。

(七)参考书目

1.《数字电子技术基础简明教程》(第三版).余孟尝编. 北京:高等教育出版社,2016.

2.《数字电子技术基础》(第六版).阎石编. 北京:高等教育出版社,2016.

3.《数字电子技术基础》周良权. 北京:高等教育出版社,201

4.

4.《数字电子技术》(第十版).[美]Thomas L.Floyd. 北京:电子工业出版社,2014.

二、中文摘要

本课程主要是使学生掌握数字电子技术的基本理论、基本工作原理、分析设计数字系统的基本方法和基本的实验技能, 为下一步学习综合电子系统设计和深入学习后续课程打下必要的基础。培养学生解决和处理实际问题的思维方法与独立动手能力。

三、课程学时分配表

四、教学内容及基本要求

第1部分逻辑代数基础

总学时(单位:学时):8 讲课:8 实验:0 上机:0

第1.1部分数制和码制

具体内容:

1. 理解有关数字电路基础的概念。

2. 数制和码制。

3. 二进制、八进制、十六进制的计数规律及其相互转换方法。

第1.2部分逻辑代数基本运算

具体内容:

1. 8421BCD码、5421BCD码、余3码的编码规则和特点。

2. 逻辑代数的三种基本运算、基本公式和常用公式。

重点:

1. 逻辑代数的基本运算、常用公式。

难点:

1. 逻辑代数的基本运算、常用公式。

习题内容:

1. 逻辑代数的基本运算、常用公式练习。

第1.3部分公式法和卡诺图法化简逻辑函数

具体内容:

1. 运用公式法和卡诺图法,化简逻辑函数。

2. 逻辑函数中的五种表示方法:真值表、逻辑式、逻辑图、卡诺图和波形图,表达方法之

间的转换。

重点:

1.用真值表、逻辑表达式、逻辑图、波形图、卡诺图、状态图等描述逻辑问题的方法。

2.化简或变换逻辑函数的公式法和卡诺图法。

难点:

1.用真值表、逻辑表达式、逻辑图、波形图、卡诺图、状态图等描述逻辑问题的方法。

2.化简或变换逻辑函数的公式法和卡诺图法。

习题内容:

1. 逻辑函数的化简,画逻辑图。

第2部分门电路

总学时(单位:学时):8讲课:6 实验:2 上机:0

具体内容:

1. 半导体二极管和三极管开关特性。

2. 分立元件门电路。

3. TTL门电路的电气特性与参数。

4. TTL特殊逻辑门:三态门,OC门的符号、逻辑功能及其使用。

5. CMOS特殊逻辑门:三态门,OD门和传输门的符号、逻辑功能及其使用。

6. 74系列和4000系列集成逻辑门电路器件特点、逻辑功能及其使用。

重点:

1.特殊逻辑门:三态门、OC门、OD门和传输门的符号、逻辑功能及电气特性。

难点:

1.特殊逻辑门:三态门、OC门、OD门和传输门的符号、逻辑功能及电气特性。

习题内容:

1.门电路的逻辑表达式练习。

第3部分组合逻辑电路

总学时(单位:学时):14 讲课:12 实验:2 上机:0

第3.1部分组合电路分析和设计方法

具体内容:

1. 组合逻辑电路的特点。

2. 组合逻辑电路的一般分析和设计方法。

重点:

1. 组合逻辑电路的一般分析和设计方法。

难点:

1. 组合逻辑电路的一般分析和设计方法。

第3.2部分加法器、数值比较器

具体内容:

1. 半加器、全加器、加法器的逻辑功能。

2. 比较器的逻辑功能。

重点:

1. 半加器、全加器、加法器、比较器的逻辑功能。

难点:

1. 半加器、全加器、加法器、比较器的逻辑功能。

习题内容:

1. 加法器、比较器的逻辑功能练习。

第3.3部分编码器、译码器、数据选择器及分配器。

具体内容:

1. 编码器、译码器的逻辑功能。

2. 数据选择器和全加器的逻辑功能。

重点:

1.编码器、译码器、数据选择器及分配器逻辑功能。

习题内容:

1. 编码器、译码器、数据选择器及分配器逻辑功能练习。

第3.4部分用MSI实现组合逻辑函数

具体内容:

1. 用中规模集成电路(MSI)实现组合逻辑函数。

2. 常用的中规模集成编码器、译码器、数据选择器和全加器的逻辑功能。

重点:

1. 常用的中规模集成编码器、译码器、数据选择器和全加器的逻辑功能。

难点:

1. 常用的中规模集成编码器、译码器、数据选择器和全加器的逻辑功能。

习题内容:

1.用MSI实现组合逻辑函数练习。

第3.5部分竞争与冒险

1.竞争冒险概念。

2.消除竞争冒险方法。

重点:

1. 竞争冒险概念

难点:

1. 竞争冒险概念

第4部分触发器

总学时(单位:学时):10 讲课:8 实验:2 上机:0

第4.1部分基本触发器、同步触发器

具体内容:

1. 触发器的电路结构、工作原理和功能描述方法。

2. 触发器的逻辑功能分类及其转换。

第4.2部分边沿触发器、触发器特性

具体内容:

1. 用特性方程、状态表、状态图、时序图表示RS触发器、D触发器、JK触发器、T触发器和T’触发器的逻辑功能。

重点:

1. 用特性方程、状态表、状态图、时序图表示RS触发器、D触发器、JK触发器、T触发器和T’触发器的逻辑功能。

难点:

1. 用特性方程、状态表、状态图、时序图表示RS触发器、D触发器、JK触发器、T触发器和T’触发器的逻辑功能。

习题内容:

1. RS触发器、D触发器、JK触发器、T触发器和T’触发器的逻辑功能。

第5部分时序逻辑电路

总学时(单位:学时):14 讲课:12 实验:2 上机:0

第5.1部分时序电路设计方法

具体内容:

1. 时序逻辑电路的特点。

2. 时序电路逻辑功能的表示方法。

3. 时序电路的基本分析方法。

重点:

1. 时序逻辑电路的特点、功能描述。

第5.2部分计数器

1. 计数器的特点和分类。

2. 二进制计数器。

3. 十进制计数器。

4. N进制计数器。

重点:

1. 二进制与十进制集成计数器。

难点:

1. 二进制与十进制计数器。

习题内容:

1. 二进制与十进制计数器。

第6部分脉冲波形的产生与整形

总学时(单位:学时):2 讲课:2 实验:0 上机:0 具体内容:

1. 脉冲波形的特点及参数。

2. 555定时器的电路结构及工作原理。

3. 用555构成的多谐振荡器的工作原理。

重点:

1. 555定时器的电路结构及工作原理。

2. 用555构成的多谐振荡器的工作原理。

难点:

1. 用555构成的多谐振荡器的工作原理。

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电子技术教学大纲

电子技术应用专业数字电子技术模块教学大纲 一、说明: 1、大纲的编写依据:“国务院关于大力推进职业教育改革与发展的决定”, 结合“教育部关于加快发展职业教育的意见”;根据“以服务为宗旨、以就业为导向”的指导思想,结合学院开展模块式一体化教学改革的实际情况;编写了“电子技术”模块教学大纲。 2、模块的性质和内容:“数字电子技术”模块是电子技术专业的专业基础课程。其任务是使学生具备从事本专业职业工种必须的电子通用技术基本知识、基本方法、基本技能,并为学生学习后续课程,提高全面素质,形成综合职业能力打下基础。“数字电子技术基础”模块是电子技术专业的先导模块。本模块教学项目有三个: 项目一:“三人表决器的逻辑电路设计与制作”;项目二:“一位十进制加法计算器电路设计与制作”项目三:“数字钟的电路设计与制作”。 3、模块的任务和要求:项目一“三人表决器的逻辑电路设计与制作”。主要任务是:学习逻辑代数、逻辑函数基本知识;常用逻辑门电路;组合逻辑门电路的设计方法。具体要求:掌握TTL、CMOS的识别与测试;掌握三人表决器逻辑电路的设计方法和制作技巧。项目二:“一位十进制加法计算器的逻辑电路设计与制作”。主要任务是:学习数制及其转换的基本知识;学习编码器、译码器、选示器的基本知识;学习半加器与全加器的基本知识。具体要求:理解编码器、译码器、驱动示器逻辑电路的工作原理;掌握一位十进制加法计算器的逻辑电路设计与制

作。项目三:“数字钟的设计与制作”。主要任务是:学习触发器的基本知识;学习分频电路的结构与工作原理;学习二十四进制、六十进制计数器的组成工作原理。具体要求:掌握集成触发器的测试;掌握555振荡器的制作与测试;掌握数字钟的安装与调试。 4、教学方法的应用:本模块采用项目教学法,每一项目安排多个任务,任务中有学习目标、工作任务、知识链接点、实验实习、技能训练、思考与练习。教师使用操作示范、演示讲解、多媒体等多种教学方法,学生在做中学、学中做,学会模仿、讨论、评析、竞争,激发学生的学习兴趣和热情,以提高教学效果。 5、模块的适用范围及对教师的要求:本模块适应应用电子技术专业、教学条件已在教学方案中说明。教师应具备电类本科毕业或电类中级以上职称。实训操作需一名辅助教师作准备。 6、建议选用教材或讲义及参考资料 主要教材:建议选用讲义 参考资料:《新编电子技术项目教程》李传珊主编 电子工业出版社 二、学时分配表

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术试题及答案题库

《 数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1

数字电子技术教学大纲资料

一.本课程的教学目的、基本要求及其在教学计划中的地位: 数字电子技术基础课程是一门用以培养学生电子技术入门性质的技术基础课,本课程主要研究常用基本的半导体元器件的工作原理,基本的电子电路的原理和应用。通过课程的学习,使学生能够较好地掌握电子技术的基本理论、基本知识和基本分析问题的方法。其主要任务是培养学生: 1 .掌握电子技术课程的基本理论、基本知识和基本分析问题的方法。了解电子技术的新发展,新技术。 2 .正确掌握电子技术的课程内容,能够分析由几个单元电路组成的小电子电路系统。理论联系实际,具有创新精神。 3 .具有运用计算机分析和设计简单电子电路的能力,掌握用计算机分析电子电路的新方法。 4 .具有较强的实验能力,会使用常规的电子仪器,会通过实验安装调试电子电路,具有进行实验研究的初步能力。 5 .具有较强的查阅电子技术资料的能力和从网络上获取有关信息的能力。 数字电子技术基础课程是高等工科院校中电气信息类专业的一门必修课程,在教学过程中综合运用先修课程中所学到的有关知识与技能,结合各种实践教学环节,进行多种教学活动。为学生进一步学习有关专业课程和日后从事专业工作打下基础,因此本课程在后续课程中占有很重要的地位。 二.本课程的主要内容、各章节内容及其学时安排: 本课程的主要内容包括基本的半导体元器件、各种常用电子电路的工作原理和应用等内容。 第 1 章逻辑代数( 4 学时) 数字信号的特点、双值逻辑系统的概念。数字电路描述的数学工具——逻辑代数的运算定理和规则,以及逻辑函数的化简和变换等内容。 第 2 章集成逻辑门电路( 6 学时) TTL 和 COS 两大类型的逻辑门的工作原理、特性曲线和参数指标,对常用的几个系列逻辑门,以及集电极开路门和三态门作了较详细的讨论和比较。 第 3 章组合数字电路( 10 学时) 组合数字电路的分析和设计方法,译码器、编码器、数据选择器、比较器等常用组合数字电路的工作原理和应用。

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

数字电子技术模拟试题及答案

数字电子技术模拟试题及 答案 Prepared on 24 November 2020

《数字电子技术》模拟试题 一、填空题(每题2分,共20分) 1、十六进制数97,对应的十进制数为 (1) 。 2、“至少有一个输入为0时,输出为 (2) ”描述的是与运算的规则。 3、 (3) 变量逻辑函数有16个最小项。 4、基本逻辑运算有: (4) 、 (5) 和 (6) 运算。 5、两二进制数相加时,不考虑低位的进位信号是 (7) 加器。 6、TTL 器件输入脚悬空相当于输入 (8) 电平。 7、RAM 的三组信号线包括: (9) 线、地址线和控制线。 8、采用四位比较器对两个四位数比较时,先比较 (10) 位。 二、单项选择题(每个3分,共15分) 1、图1的国标逻辑符号中 (11) 是异或门。 图1 2、下列逻辑函数表达式中可能存在竞争冒险的是 (12) 。 A ))((C B B A F ++= B ))((C B B A F ++= C ))((C B B A F ++= D ))((C B B A F ++= 3、下面逻辑式中,不正确的是_ (13)____。 A.C B A ABC ??= B. A AB A += C. ()A A B A += D. AB BA = 4、时序逻辑电路中必须有___(14)___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码 器

5、有S1,S2两个状态,条件(15)可以确定S1和S2不等价。 A. 输出相同 B. 输出不同 C. 次态相同 D. 次态不同 三、简答题(共10分) 1、证明:B A+ = +(4分) A A B 2、某逻辑函数的真值表如表1所示,画出卡诺图。(6分) 表1 某逻辑函数的真值表 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 X 1 0 0 X 1 0 1 0 1 1 0 1 1 1 1 X 四、分析题(20分) Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=;CP0=。 2)列出其驱动方程:(4分) J1=;K1=;J0=;K0=。 3)列出其输出方程:(1分) Z=

2017期中教学检查总结

2017期中教学检查总结 导读:本文2017期中教学检查总结,仅供参考,如果能帮助到您,欢迎点评和分享。 2017期中教学检查总结如下,希望您仔细阅读。 2017期中教学检查总结【一】为了全面检查了解我校评估后教学运行情况,进一步加强教育教学管理,不断提高教学质量,学校于第11~12周(2017年5月5~16日)进行了本学期期中教学检查。各学院(教学部)高度重视期中教学检查工作,成立领导小组,根据学校通知的检查内容要求对本单位进行自查,找出问题与不足,写出自查报告。并协助学校检查组做好专项教学检查。学校成立了由教务处、实验与国有资产管理处处科室领导、学校督导员、各院部分管教学工作的副院长(副主任)组成的六个检查小组,分工对各院部教师的课堂教学进行了听课检查,并于5月13、14日分别到各院部对毕业设计(论文)工作、上学期期末考试试卷和实验教学工作进行了专项检查。现根据各院部期中教学检查总结和学校检查组检查情况,对本学期期中教学检查情况总结如下。 开学以来教学工作基本情况 本学期的教学工作是在教育部对我校本科教学工作水平进行评估并获得优秀成绩的大好形势下开展的,各学院(教学部)动员和组织广大教师和学生,按照学校统一部署,总结评建经验,巩固评建成果,认真贯彻落实在评建工作中建立起来的各项工作规范和质量标准,发

扬形成的优良教风和学风,并按照专家组的反馈意见,制定落实整改措施,进一步改进教学,全面实施学校提出本科教育优质工程。教学工作蓬蓬勃勃地开展着,并取得了良好的效果。 1.关于课堂教学工作 大部分教师都能认真执行课堂教学规范,按照授课计划执行,教学态度端正,讲课精神饱满、有热情;备课认真,教学内容熟练,语言流畅,表达清晰;重点突出,难点解决办法清晰适当;注意与学生的交流,使用不同的方法调动学生的积极性;教学内容丰富,信息量较大,很多教师能补充科技发展的新成果;能较正确使用多媒体课件,注意课件与板书的配合使用,效果较好,有部分课件质量较好;大部分班级学生出勤良好,教师课堂教学管理较严格。 物理学院张静华老师教态自然大方,态度积极认真,教学结构合理,内容熟练充实,思路清晰,注意问题的分析,多媒体课件演示和必要规范的板书有机结合效果好,语言流畅。臧xx老师根据本次课的教学内容选择板书教学,板书设计合理,规范,讲课效果很好。 文学院刘xx老师对教学内容整体把握能力较强,多媒体课件与板书互为补充,思路清晰、板书简练,重点突出,信息量大,知识储备充足,理论与实际结合到位。 机械学院毛xx老师讲授内容熟练,语言流畅,表达清楚,声音比较洪亮,课件比较实用,并结合适当的板书,讲授中注意启发和引导参与教学活动,对问题的分析比较明确和透彻。 化工学院柳玉英老师课堂组织比较好,课堂秩序好,多媒体运用

电子技术专业-数字电子技术B大纲

《数字电子技术B》课程教学大纲 课程代码:030031106 课程英文名称:Digital Electronic Technology B 课程总学时:48 讲课:48 实验:0上机:0 适用专业:自动化学院电子科学与技术,信息学院计算机科学与技术 大纲编写(修订)时间:2017.11 一、大纲使用说明 (一)课程的地位及教学目标 1. 本课程是电子科学与技术专业的专业基础课,是必修课。 2.通过本课程的学习,使学生熟悉数字电路的基本原理及特性并且在此基础之上能够掌握其分析方法和设计方法。学习数字电子技术课程,对培养学生的科学思维能力,树立理论联系实际的工程观点和提高学生分析和解决问题的能力,都有及其重要的作用。 (二)知识、能力及技能方面的基本要求 1.通过本课程的学习使学生掌握数字电路的基本原理。 2.掌握逻辑代数分析的理论方法,使学生掌握组合逻辑电路,时序逻辑电路分析与设计的基本方法。 3. 使学生了解数字系统设计方法。 (三)实施说明 课程各章节内容在重点、深度和广度方面的说明: 1.用真值表、逻辑表达式、逻辑图、波形图、卡诺图、状态图等描述逻辑问题的方法。 2.化简或变换逻辑函数的公式法和卡诺图法。 3.TTL和CMOS门电路的特性及特点。 4.掌握组合逻辑电路的分析方法与设计方法。 5.掌握使用MSI实现简单数字系统的一般方法。 6.掌握各种基本、同步以及边沿触发器使用方法和基本原理。 7.掌握时序逻辑电路的分析和设计方法。 8.掌握集成计数器的设计和使用方法。 (四)对先修课的要求 本课程的先修课为:《电路》及《模拟电子技术》(可与模拟电子技术并行)。 (五)对习题课、实验环节的要求 1.对重点、难点章节应安排习题课,例题的选择以培养学生消化和巩固所学知识,用以解决实际问题为目的。 2.课后作业要少而精,内容要多样化,作业题内容必须包括基本概念、基本理论及设计方面的内容,作业要能起到巩固理论,掌握计算方法和技巧,提高分析问题、解决问题能力,熟悉标准、规范等的作用,对作业中的重点、难点,课上应做必要的提示,并适当安排课内讲评作业。学生必须独立、按时完成课外习题和作业,作业的完成情况应作为评定课程成绩的一部分。 (六)课程考核方式 1.考核方式:考试。 2.考试目标:重点考核本课程的基本概念、基本知识、基本技能和知识应用能力。 3.成绩构成:本课程的总成绩主要由三部分组成:平时成绩(包括作业情况、出勤情况、小测验、课堂提问等)占20%,期末考试成绩占80%。

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术试题库

数 字 电 子 技 术 2011年7月23日星期六

1 1 : 对于JK触发器,输入J=0,K=1,CLK脉冲作用后,触发器的次态应为()。 (2分) A:0 B:1 C:Q' D:不确定 您选择的答案: 正确答案: A 知识点:JK触发器的特性为:J=1, K=1时,Q状态为翻转,即Q= Q’ -------------------------------------------------------------------- 2 : 已知Y=A+AB′+A′B,下列结果中正确的是() (2分) A:Y=A B:Y=B C:Y=A+B D:Y=A′+B′ 您选择的答案: 正确答案: C 知识点:利用公式A+AB′=A和A+A′B=A+B进行化简 -------------------------------------------------------------------- 3 : (1001111)2的等值十进制数是() (2分) A:97 B:15.14 C:83 D:79 您选择的答案: 正确答案: D 知识点:把二进制数转换为等值的十进制数,只需将二进制数按多项式展开,然后把所有各项的数值按十进制数相加。 -------------------------------------------------------------------- 4 : 图中为CMOS门电路,其输出为()状态 (2分) A:高电平 B:低电平 C:高阻态 D:不确定 您选择的答案: 正确答案: A 知识点:对于CMOS门电路,输入端接负载时,输入电平不变 -------------------------------------------------------------------- 5 : 四选一数据选择器的数据输出Y与数据输入Di和地址码Ai之间的逻辑表达式为Y=() (2分) A:A1′A0′D0+ A1′A0D1+ A1A0′D2+ A1A0D3 B:A1′A0′D0

数字电子技术-复习选择填空题汇总(精简)

一、 选择题: 1、在下列逻辑电路中,不是组合逻辑电路的是( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 2、下列触发器中没有约束条件的是( D ) A 、基本RS 触发器 B 、主从RS 触发器 C 、同步RS 触发器 D 、边沿D 触发器 3、555定时器不可以组成 D 。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K 触发器 4、编码器(A )优先编码功能,因而( C )多个输入端同时为1。 A 、有 B 、无 C 、允许 D 、不允许 5、( D )触发器可以构成移位寄存器。 A 、基本RS 触发器 B 、主从RS 触发器 C 、同步RS 触发器 D 、边沿D 触发器 6、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K 触发器 B. R-S 触发器 C. D 触发器 D. T 触发器 7、十进制数6在8421BCD 码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000 8、在图所示电路中,使__ A Y 的电路是---------------------------------------------( A ) A. ○1 B. ○2 C. ○3 D. ○4 9、接通电源电压就能输出矩形脉冲的电路是------------------------------------------( D ) A. 单稳态触发器 B. 施密特触发器 C. D 触发器 D. 多谐振荡器 10、多谐振荡器有-------------------------------------------------------------------------------( C ) A. 两个稳态 B. 一个稳态 C. 没有稳态 D. 不能确定 11、已知输入A 、B 和输出Y 的波形如下图所示,则对应的逻辑门电路是-------( D ) A. 与门 B. 与非门 C. 或非门 D. 异或门 12、下列电路中属于时序逻辑电路的是------------------------------------------------------( B ) A. 编码器 B. 计数器 C. 译码器 D. 数据选择器 13、在某些情况下,使组合逻辑电路产生了竞争与冒险,这是由于信号的---------( A ) A. 延迟 B. 超前 C. 突变 D. 放大

成绩分析会总结

成绩分析会总结 (文章一):教学质量分析会后的反思教学质量分析会后的反思分析人:为进一步总结我校上半年教学工作取得的成绩,分析存在的问题和差距,明确下一阶段的工作目标。2xx年3月10日下午,我校全体教师参加了教管中心组织的教学质量分析会,在本次教学质量分析会上,听了主任和刘老师的重要讲话,同时也听了几位老师关于上学期期末考试成绩分析及最近一个阶段的教学经验总结后,深深地感到他们的钻研精神、他们的创新精神、他们的亲合精神,根据他们的经验总结自己学科的教学。通过这次会议,我觉得自己可以从以下方面来改进: (1)、向四十分钟要质量(1)精讲就要求教师认真备好每堂课,起码要做到课前精心设计,顾及到在课堂中遇到的问题,做到胸有成竹,在分析本班班情的情况下要特别关注差生的接受能力,在备课的同时要尽量照顾这部分学生,在授课过程中要剖析新旧知识的联系,给学生以点拨、激发学生的注意力和学习兴趣,形成良好的课堂氛围,做到教师精讲,引导学生多思考,尽量向四十分钟要质量。(2)多练就是要留给学生练习时间,在精讲的基础上,把学到的知识反复在课堂上多练,这就要特别关注部分差生,经过反复练习,以至于他们也完全掌握,这样老师好教,学生好学,充分调动了学生的学习积极性,课堂教学效益也得到了较大提高。 (2)、把教学反思做到实处。课堂教学中有所得也会有所失,学生

学习习惯的差异,知识水平和理解能力的参差不齐,教师的教学设想与实际有时会有不相适应的地方,有时会觉得有不尽人意之处,做为教师要认真分析总结这节课的优劣,不断总结经验教训,会在今后的教学中少走弯路,并同时能及时发现个人在教学中出现的不足之处,从而可以获得宝贵的经验教训,以便提高自己的教学水平,增强自身的教学能力,使自己的教学工作日益得到完善。 (3)、注意差生的转化每个班都或多或少的存在着部分差生,这些差生人数虽少,但对全面提高教学质量有着很大的、直接的影响,一个班集体学生学习素质的好坏,也就是转化差生人数的多少。转化一个算一个,教学质量也就上一点,那么转化两个、三个呢?但这并不是一件很容易做到的事,这就要求我们在平时教学工作中要花费心血。绝大多数差生是“非智力因素”造成的,有的没有养成良好的习惯,有的学习目的不明确,学习被动应付,不求进取,有的则由于家庭等客观因素的干扰,学习情绪不稳定。也有极少数差生属于“弱智”儿童,他们思维迟钝,知识存在缺陷,学习深感困难,虽然努力但成绩一直上不去,针对以上情况,我们应该采取以下措施进行转化:(1)要我们在这些学生身上多下功夫,多费心血,寻找原因和他们拉近关系,进行相互沟通进行谈心,千万不能鄙视,也不能听之任之,要给他们偏食,加大课外补习辅导,同时要注意他们的“闪光点”给予表扬鼓励,给他们以更多的关爱呵护,通过老师的情感交流去感化他们,从而点燃起他们智慧的火花,使他们的学习情绪会有一定的转化。(2)开展一帮一带活动,增加他们的学习机会,每人找一位成绩好的同学,

数字电子技术教学大纲

《数字电子技术》教学大纲 (课程编号2932120 ) (学分 4 学时51 ) 第一部分说明 一、课程的性质与任务 《数字电子技术》是物理教育专业、应用物理专业、教育技术专业的必修技术基础课,该课程不仅具有自身的理论体系而且是一门实践性很强的课程。本课程的任务是使学生掌握数字电子电路的基本工作原理、分析方法和基本实验技能。为深入学习后续课程和从事有关电子技术方面的实际工作打下基础。 二、课程的教学基本要求 1、数字电子技术是发展很快的学科,但其基本理论已经形成了相对稳定的体系,教学上要按照基础知识储量和学科发展增量统筹考虑的原则构建教学内容体系,教学重点放在学习、掌握基本概念、基本分析和设计方法上,并及时跟踪电子技术学科前沿的进展,为学生学习、掌握现代科学技术打下坚实的基础。 2、数字电子技术是实践性很强的技术基础课,在教学安排上将实验课作为课程内实验环节,理论课和实验课同步进行,使理论实际的联系更加密切。综合培养学生看电路图能力、电路分析计算能力、选择设计方案和器件能力、电路设计及调试能力。 三、与其它课程的关系 先修课程为《电磁学》、《模拟电子技术》,本课程为学习后续课程《微机原理与应用》打下必要的基础。 四、课程的教学要求层次 课程教学要求分掌握、理解、了解三个层次。 1. 掌握:要求学生能够深刻理解所学的内容并能够灵活运用。 2. 理解:要求学生能够较好地理解所学内容。 3. 了解:要求学生能够知道所学的有关内容。 五、教学环节设计方案 (一)、学时分配 课程总学时85学时,其中理论课51学时,实验课34学时。学时分配如下:

* 实验课学时分配,见数字电子技术实验教学大纲。 (二)、教学方法 1、主讲式教学方法 基础知识以教师讲授为主,采用主讲式教学方法,目的是引导学生正确认识、理解、掌握课程的基础知识,教会学生分析、研究数字电子电路的方法。 2、讨论式教学方法 拓宽性后续知识、部分习题采用讨论式教学方法,目的是激励学生自主学习,培养学生知识综合、知识应用、知识迁移提高的能力,知识传授和知识能力培养并重。 3、研究式教学方法 提高性知识,采用研究式教学方法,目的是激励和培养学生自学能力、研究问题的能力。 4、答疑、激疑质疑式教学方法 在辅导、答疑的辅助教学环节中,采用答疑的方法解决学生学习过程中的疑难问题,又针对某些问题逐步深入地提问,向学生质疑、激疑,以激发学生对所学知识进行深入探索,牢固掌握知识体系、内在联系,从而提高了学习质量、学习效果。 5、实验环节采用学生预习、审查方案、仿真模拟、讲解示范、指导操作、独立操作等教学方法。 (三)、考试考核方式 教学过程是知识消化、理解和升华的过程,各个教学环节之间是相互影响、相互依存的,各个环节的统一协调,齐抓并重是提高教学质量的根本保证,也是培养学生自主学习能力的必要措施。因此,在教学过程中对学生的学习过程实施全程考核:理论课将完成作业、答疑质疑、习题研究、专题研讨、阶段考核考核情况量化成分值作为本课程的平时成绩。

数字电子技术实验指导书 新

数字电子技术基础 实验指导书 (适用于数字逻辑、数字电子技术基础、数字电子技术等课程) 北京印刷学院 1

北京印刷学院 信息与机电工程学院 信息工程系 《数字电子技术基础实验》 指 导 书 (适用于数字逻辑、数字电子技术基础、数字电子技术等课程) 电路教研室编 2

3 实验一 示波器的实验研究 一、实验目的与要求 1.掌握COS5020型或V —212E 型双踪示波器的使用方法 2.掌握用示波器测量脉冲波形主要参数的方法 3.熟悉TPE —D6数字电路学习机的使用 二、实验设备与器材 1.双踪示波器 2.数字电路学习机 三、实验内容与步骤 1.双线显示示波器内的CAL 信号 通过检验该信号的周期与幅度,熟悉示波器各旋钮的作用,并测量该信号的周期与幅度。 =CAL V =C A L T 2.示波器测量 用示波器测量数字电路学习机中CP 脉冲的周期(开关放在可调连续脉冲Ⅰ、Ⅱ位置,电位器顺逆时针旋转到底位置),以及该脉冲的逻辑高电平。 =I ax m V =I min T = ax m V = min T 3.观察与测量RC 网络对矩形波信号的响应 本实验所用的电路形式如图1-1所示。 图1-1 RC 实验电路 v I 为输入方波信号,其周期为T =0.1ms 。 (1)RC 微分电路 实验电路中的Z 1和Z 2分别是电容C 和电阻R ,元件参数按表1-1选取,观察与测量输出信号v O 的波形,并测量其脉冲宽度。 (2)RC 积分电路 实验电路中的Z 1和Z 2分别是电阻R 和电容C ,元件参数按表1-2选取,观察与测量输出信号v O 的波形,并测量其脉冲上升时间。 四、预习要求

相关文档
最新文档