maxplus2设计半加器

maxplus2设计半加器
maxplus2设计半加器

上海金融学院

《硬件系统设计》上机实验报告(九)

姓名:学号:班级:成绩:

实验名称:熟悉MaxplusII、半加器及其应用实验地点:

实验设备:(计算机型号)(生产商)设备号:

使用软件: Maxplus2 实验时间:年月日星期,时分至时分

一、实验原理:(简述----用自己的理解)

Maxplus2(Multiple Array Matrix and Programmable

Logic User System)是一个完全集成化、易学易用的可编程逻辑设计环境,

它可以在多种平台上运行,其图形界面丰富,加上完整的、可即时访问的在线

文档,使设计人员可以轻松地掌握

软件的使用。

二、实验内容(步骤):

添加一个新文件,并添加逻辑功能图元如下:

连接引脚并修改输入输出端名称如下:

保存文件

电路编译与适配

选择7000S 系列的EPM7128SLC84-15 器件,如下:

编译适配:

选择被仿真的输入、输出口

开始仿真,半加器的输出为网格状,表示未仿真前其输出是未知的。

将时间间隔设置为1.0us

在电路输入端口添加激励信号,设置输入端口A的时钟周期为200ns,倍数为1

设置输入端口B的时钟周期为400ns,倍数为2

得到如下结果:

保存结果,以便开始仿真实验

对电路进行时序仿真,如下:

半加器电路仿真如下:

对四个管脚进行重新分配(GND、Global CLK 等)不能使分配使用,如下:

然后再进行一次仿真,观察结果,正确:

三、实验体会:

所谓半加器就是实现两个一位二进制数加法运算的电路,实验将A、B分别作为一位二进制数,S表示A、B相加的和,C是相加产生的进位,则半加器的真值表为

逻辑表达式如下:

由于硬件下载的实验无法进行,但是对半加器的模拟及实验原理的了解以基本达到。

设计方案——喷漆烘干房

中国兵器工业 喷漆烘干室 设 计 方 案 盐城市中宝机械制造有限公司二0一0年8月28日 盐城市中宝机械制造有限公司地址:盐城市盐都区工业园区邮编:224005

目录1.设计主要参数 2.设计标准 3.设计目标 4.设计原则 5.设计要求 6.设备主要性能 7.设备主要技术参数 8.喷漆、烘漆工作原理 9.设备结构简介 10.产品制造、安装、调试 11.工程进度 12.设备验收 13.培训 14.售后服务 15.动力能源表 16.主要外购件配套单位 17.易损件及备品备件一览表 18.附图:1).喷漆烘干室(总图)

设计方案 1、设计主要参数 根据需方提出的有关技术规格和要求确定。 1.1最大工件外形尺寸(L×W×H):10000×4500×5000(mm) 1.2最大工件重量: 20T 1.3喷烘要求: 喷漆烘干两用、冬季升温喷漆,雨季升温除湿喷漆。 1.4加热方式:超导电加热 1.5烘干温度: 60℃(可调) 1.6烘干时间: 0—120min(可任意设定) 1.7喷烘室内的温度误差:≤±5℃ 1.8烘干时室体表面温度:周围环境温度+5℃ 1.9空气净化率:≥98% 1.10漆雾处理方式:采用干式过滤的方式来捕捉废漆雾。 1.11漆雾净化率:≥96% 1.12噪音:≤80db(A) 1.13光照度:≥500LX 1.14室内有载风速: 0.3~0.5m/s 1.15送排风方式:上送下排 1.16工件输送方式:卷线式电动平车 1.17室内压力:室内呈微负压50~100Pa 1.18喷漆室门结构形式:电动大门。 1.19三维工作台: 左、右侧各1台(设置空气辅助无气喷涂系统) 1.20送风洁净度:室内气体中5μm以上的尘埃100%过滤 1.21控制方式:自动、手动两种控制。具有多种保护、报警功能。 2、设计标准 2.1 GB6514-2008《涂装作业安全规程涂漆工艺安全及其通风 净化》 2.2 GB7691-2003《涂装作业安全规程安全管理通则》 2.3 GB14444-2006《涂装作业安全规程喷漆室安全技术规定》 2.4 GB14443-2007《涂装作业安全规程涂层烘干室安全技术规 定》 2.5 GB20101-2006《涂装作业安全规程有机废气净化装置安全 技术规定》 2.6 GB12348-1990《工业企业厂界噪声标准》

简单电路图的设计过程

电路原理图的绘制方法与步骤 一.电路原理图绘制前的准备工作 1.设计电路原理图的草图 例如要画出图1所示的稳压电源的电路图,首先要画出电路图的草图。 2.电路图有关资料的整理、列表 为了方便快捷地画出电路原理图,首先必须将电路图中所有零件的名称、拟采用的编号、零件的类型以及元件封装进行整理,列出表格,如表1所示。 二、Protel 99 SE 的启动 在Windows 桌面上,将鼠标的指示箭头对准图2所示的Protel 99 SE 图标, 双击鼠标左键,启动Protel 99 SE 。 启动Protel 99 SE 后,屏幕会出现图3所示的界面。 图2 Protel 99 SE 图标 图1 稳压电源电路图

几秒钟后,Protel 99 SE 的启动界面消失,留下了Protel 99 SE 的初始操作界面,如图4所示: 三、进入电路原理图设计环境 1.启动电路原理图编辑器 (1)创建工程设计数据库FirstDesign.ddb : 启动Protel 99 SE 后,打开File 菜单,选择New 命令,则弹出的题目为New Design Database 的对话框,在Design Storage Type 栏内,选择设计数据库的格式为MS Access Database ;在Databass Location 框中指定设计数据库存放的位置为:C :\Design Explorer 99se\\Examples ;在Databass File Name 文本框中输入数据库的名称FirstDesign.ddb 。单击OK 按钮,完成设计数据库的创建。 标题栏 菜单栏 工具条 设计管理面板 设计工作区 图4 Protel 99 SE 的操作界面 图6 图2 Protel 99 SE 的启动界面

橡胶生产工艺设计流程

【乳胶网配方设计】 1 ?基本工艺流程 伴随现代工业尤其是化学工业的迅猛发展,橡胶制品种类繁多,但其生产工艺过程,却基本相同。以一般固体橡胶(生胶)为原料的制品,它的生产工艺过程主要包括: 原材料准备一塑炼一混炼一成型一硫化一修整一检验 2?原材料准备 橡胶制品的主要材料有生胶、配合剂、纤维材料和金属材料。其中生胶为基本材料;配合剂是为了改善橡胶制品的某些性能而加入的辅助材料;纤维材料 (棉、麻、毛及各种人造纤维、合成纤维)和金属材料(钢丝、铜丝)是作为橡胶制品的骨架材料,以增强机械强度、限制制品变型。 在原材料准备过程中,配料必须按照配方称量准确。为了使生胶和配合剂能相互均匀混合,需要对某些材料进行加工: 1基本工艺流程

伴随现代工业尤其是化学工业的迅猛发展,橡胶制品种类繁多,但其生产工艺过程,却基本相同。以一般固体橡胶(生胶)为原料的制品,它的生产工艺过程主要包括: 原材料准备一塑炼一混炼一成型一硫化一休整一检验 2?原材料准备 橡胶制品的主要材料有生胶、配合剂、纤维材料和金属材料。其中生胶为基本材料;配合剂是为了改善橡胶制品的某些性能而加入的辅助材料;纤维材料 (棉、麻、毛及各种人造纤维、合成纤维)和金属材料(钢丝、铜丝)是作为橡胶制品的骨架材料,以增强机械强度、限制制品变型。 在原材料准备过程中,配料必须按照配方称量准确。为了使生胶和配合剂能相互均匀混合,需要对某些材料进行加工: 生胶要在60--70 C烘房内烘软后,再切胶、破胶成小块; 块状配合剂如石蜡、硬脂酸、松香等要粉碎; 粉状配合剂若含有机械杂质或粗粒时需要筛选除去; 液态配合剂(松焦油、古马隆)需要加热、熔化、蒸发水分、过滤杂质;

半加器和全加器及其应用

实验二半加器和全加器及其应用 一、实验目的 1.掌握全加器和半加器的逻辑功能。 2.熟悉集成加法器的使用。 3.了解算数运算电路的结构。 二、实验设备 1.数字电路试验箱; 2.74LS00,74SL86。 三、实验原理 半加器(m =0半加,m=1为半减) 能实现两个一位二进制数的算术加法及向高位进位,而不考虑低位进位的逻辑电路。 它有两个输入端,两个输出端。 半加器电路是指对两个输入数据位进行加法,输出一个结果位和高位的进位,不考虑输入数据的进位的加法器电路。 是实现两个一位二进制数的加法运算电路。数据输入A 被加数、B加数,数据输出S和数(半加和)、进位C0。 同理,能对两个1位二进制数进行相减不考虑低位来的借位求得差及借位的逻辑电路称为半减器.设减数和被减数分别用A和B,表示差用S,表示向高位的借位用C0。

全加器,全减器(m =0为全加,m=1为全减) 全加器是实现两个一位二进制数及低位来的进位数相加(即将三个一位二进制数相加),求得和数及向高位进位的逻辑电路。根据全加器功能,其真值表如下表所示。表中A及B分别代表被加数及加数,C1是低位来的进位,S代表相加后得到的和位,C0代表向高位的进位。图中C1是进位输入端,C0是进位输出端。 同理,能对两个1位二进制数进行相减并考虑低位来的借 位求得差及借位的逻辑电路称为全减器.设减数和被减数 分别用A和B表示低位来的借位用C1,表示差用S,表 示向高位的借位用C0。 四、实验内容 实验一、实现半加器,半减器,当M为0时实现逻辑 变量A、B的半加功能,当M为1时实现逻辑变量A、 B的半减功能。 实验二、实现全加器,全减器,当M为0时实现逻辑 变量A、B的全加功能,C i为进位值。 当M为1时实现逻辑变量A、B的全减功能,C i为借 位值。 五、实验数据 1实现半加、半减器 (1)真值表

protel 99se绘制原理图的主要步骤

protel 99se绘制原理图的主要步骤 通常,硬件电路设计师在设计电路时,都需要遵循一定的步骤。要知道,严格按照步 骤进行工作是设计出完美电路的必要前提。对一般的电路设计而言,其过程主要分为 以下3步: 1.设计电路原理图 在设计电路之初,必须先确定整个电路的功能及电气连接图。用户可以使用Protel99 提供的所有工具绘制一张满意的原理图,为后面的几个工作步骤提供可靠的依据和保证。 2.生成网络表 要想将设计好的原理图转变成可以制作成电路板的PCB图,就必须通过网络表这一桥梁。在设计完原理图之后,通过原理图内给出的元件电气连接关系可以生成一个网络 表文件。用户在PCB设计系统下引用该网络表,就可以此为依据绘制电路板。 3.设计印刷电路板 在设计印刷电路板之前,需要先从网络表中获得电气连接以及封装形式,并通过这些 封装形式及网络表内记载的元件电气连接特性,将元件的管脚用信号线连接起来,然 后再使用手动或自动布线,完成PCB板的制作。 原理图的设计步骤: 一般来讲,进入SCH设计环境之后,需要经过以下几个步骤才算完成原理图的设计:1.设置好原理图所用的图纸大小。最好在设计之处就确定好要用多大的图纸。虽然在 设计过程中可以更改图纸的大小和属性,但养成良好的习惯会在将来的设计过程中受益。 2.制作元件库中没有的原理图符号。因为很多元件在Protel99中并没有收录,这时就 需要用户自己绘制这些元件的原理图符号,并最终将其应用于电路原理图的绘制过程 之中。 3.对电路图的元件进行构思。在放置元件之前,需要先大致地估计一下元件的位置和 分布,如果忽略了这一步,有时会给后面的工作造成意想不到的困难! 4.元件布局。这是绘制原理图最关键的一步。虽然在简单的电路图中,即使并没有太 在意元件布局,最终也可以成功地进行自动或手动布线,但是在设计较为复杂的电路 图时,元件布局的合理与否将直接影响原理图的绘制效率以及所绘制出的原理图外观。

烤房设计方案

蒸汽烤房设计方案及报价 一、客户提供的参数与要求: 1、物料名称:鱼类、肉类、禽类等 2、原料处理量:3-4吨/次。 3、正常烘干温度:室温~100℃。 4、加热方式:蒸汽散热器加热 二、设备主要技术参数: 本烤房设计为大风量中高温蒸汽烤房,采用内置耐高温风机,烤房内长期运行温度可达100度。设计蒸汽压力为0.3-0.7MPa,电压为3*380V,额定电功率为17.6KW,适合鱼和禽类食品原材料的烘烤。 1、箱体系统 烘房尺寸:L6975×W4980×H3700 结构形式:单体式结构 调风板:箱体两侧安装导风板,控制烘干均匀性。 烘箱门:手动开门,门锁采用碰锁锁紧式结构 2、加热方式 散热器:铝翅片式 循环风机:耐高温轴流风机 风机位置:安装于箱体顶部风道内 4、排湿系统: 采用自动排湿系统,大量地节约了能源,降低了生产成本,同时也加快了烘烤的时间。 5、控制方式 采用智能仪表温度编程方式,设定曲线可储存,控制精确,节能、

稳定、高效。 三、烤房配置材料一览表 序号名称型号及规格备注 1 箱体L6975×W4980×H2900 双面不锈钢保温板,SUS304厚0.4 2 密封门1900*2000 0.4不锈钢保温板 3 导风板、隔板导风板标1.2,隔板0.7 SUS304不锈钢 5 散热器2600*750*200 4组铝翅片 6 烘房内管道DN32 蒸汽通用无缝钢管 7 电磁阀DN32 全铜 8 疏水阀DN32 嵩山 9 轴流风机T70*5台耐高温 10 排湿风管400*250 镀锌管 11 排湿风机 1.5KW*2 2.2KW*1 耐高温 12 控制箱触摸屏控制优质电控器件,编程控制 13 附材、配件、 钢主体支架等主体支架为国标镀锌钢材支架、风阀 1300*800*1850 20台,304材质,管径30*30MM 14 4层不锈钢挂 鸭推车 15 不锈钢接油盘1220*850*70 20个,304材质 四、设备安装要求 1、设备安装时要求地面水平,室内净空高度不低于4米。 2、烤箱设备所需的电源和蒸汽由甲方负责连接到本烤箱的连接处, 并完成连接,材料也由甲方负责。

全加器与半加器原理及电路设计

全加器与半加器原理及电路设计 在数字系统中,加法器是最基本的运算单元。任何二进制算术运算,一般都是按一定规则通过基本的加法操作来实现的。 1.二进制 十进制中采用了0,1,2,…,9十个数码,其进位规则是“逢十进一”。当若干个数码并在一起时,处在不同位置的数码,其值的含义不同。例如373可写成 二进制只有0和1两个数码,进位规则是“逢二进一”,即1+1=10(读作“壹零”,而不是十进制中的“拾”)。0和1两个数码处于不同数位时,它们所代表的数值是不同的。例如10011这个二进制数,所表示的大小为 这样,就可将任何一个二进制数转换为十进制数。 反过来,如何将一个十进制数转换为等值的二进制数呢?由上式可见 ,,,,分别为相应位的二进制数码1或0。它们可用下法求得。 19用2去除,得到的余数就是;其商再连续用2去除,得到余数,,,,直到最后的商等于0为止,即 2 1 9 余数 ……………………………….余1(d0) ………………………………余1(d1) ……………………………….余0(d2) ……………………………….余0(d3) 0 …………………………… …余1(d4) 所以 可见,同一个数可以用十进制和二进制两种不同形式表示,两者关系如表8-13所示。 表8-13 十进制和二进制转换关系

由表8-14可直接写出 半加器可以利用一个集成异或门和与门来实现,如图8-40(a)所示。图8-40(b)是半加器的逻辑符号。 表8-14 半加器真值表 1101 由真值表可分别写出输出端Si和Ci的逻辑表达式 和的逻辑表达式中有公用项,因此,在组成电路时,可令其共享同一异或门,从而使整体得到进一步简化。一位全加器的逻辑电路图和逻辑符号如图8-41所示。 图8-41 全加器逻辑图及其逻辑符号 多位二进制数相加,可采用并行相加、串行进位的方式来完成。例如,图8-42所示逻辑电路可实现两个四位二进制数和的加法运算。

电路原理图设计步骤

电路原理图设计步骤 1.新建一张图纸,进行系统参数和图纸参数设置; 2.调用所需的元件库; 3.放置元件,设置元件属性; 4.电气连线; 5.放置文字注释; 6.电气规则检查; 7.产生网络表及元件清单; 8.图纸输出. 模块子电路图设计步骤 1.创建主图。新建一张图纸,改名,文件名后缀为“prj”。 2.绘制主图。图中以子图符号表示子图内容,设置子图符号属性。 3.在主图上从子图符号生成子图图纸。每个子图符号对应一张子图图纸。 4.绘制子图。 5.子图也可以包含下一级子图。各级子图的文件名后缀均是“sch”。 6.设置各张图纸的图号。 元件符号设计步骤 1.新建一个元件库,改名,设置参数; 2.新建一个库元件,改名; 3.绘制元件外形轮廓; 4.放置管脚,编辑管脚属性; 5.添加同元件的其他部件; 6.也可以复制其他元件的符号,经编辑修改形成新的元件; 7.设置元件属性; 8.元件规则检查; 9.产生元件报告及库报告; 元件封装设计步骤 1.新建一个元件封装库,改名; 2.设置库编辑器的参数; 3.新建一个库元件,改名; 4.第一种方法,对相似元件的封装,可利用现有的元件封装,经修改编辑形成; 5.第二种方法,对形状规则的元件封装,可利用元件封装设计向导自动形成; 6.第三种方法,手工设计元件封装: ①根据实物测量或厂家资料确定外形尺寸; ②在丝印层绘制元件的外形轮廓; ③在导电层放置焊盘; ④指定元件封装的参考点 PCB布局原则 1.元件放置在PCB的元件面,尽量不放在焊接面; 2.元件分布均匀,间隔一致,排列整齐,不允许重叠,便于装拆; 3.属同一电路功能块的元件尽量放在一起;

烘干机控制系统设计

毕业论文声明 本人郑重声明: 1.此毕业论文是本人在指导教师指导下独立进行研究取得的成果。 除了特别加以标注地方外,本文不包含他人或其它机构已经发表或撰写过的研究成果。对本文研究做出重要贡献的个人与集体均已在文中作了明确标明。本人完全意识到本声明的法律结果由本人承担。 2.本人完全了解学校、学院有关保留、使用学位论文的规定,同意学校与学院保留并向国家有关部门或机构送交此论文的复印件和电子版,允许此文被查阅和借阅。本人授权大学学院可以将此文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本文。 3.若在大学学院毕业论文审查小组复审中,发现本文有抄袭,一切后果均由本人承担,与毕业论文指导老师无关。 4.本人所呈交的毕业论文,是在指导老师的指导下独立进行研究所取得的成果。论文中凡引用他人已经发布或未发表的成果、数据、观点等,均已明确注明出处。论文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究成果做出重要贡献的个人和集体,均已在论文中已明确的方式标明。 学位论文作者(签名): 年月

关于毕业论文使用授权的声明 本人在指导老师的指导下所完成的论文及相关的资料(包括图纸、实验记录、原始数据、实物照片、图片、录音带、设计手稿等),知识产权归属华北电力大学。本人完全了解大学有关保存,使用毕业论文的规定。同意学校保存或向国家有关部门或机构送交论文的纸质版或电子版,允许论文被查阅或借阅。本人授权大学可以将本毕业论文的全部或部分内容编入有关数据库进行检索,可以采用任何复制手段保存或编汇本毕业论文。如果发表相关成果,一定征得指导教师同意,且第一署名单位为大学。本人毕业后使用毕业论文或与该论文直接相关的学术论文或成果时,第一署名单位仍然为大学。本人完全了解大学关于收集、保存、使用学位论文的规定,同意如下各项内容:按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存或汇编本学位论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入学校有关数据 库和收录到《中国学位论文全文数据库》进行信息服务。在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。 论文作者签名:日期: 指导教师签名:日期:

半加器全加器的工作原理和设计方法实验报告

一、实验目的 1、学习和掌握半加器全加器的工作原理和设计方法。 2、熟悉EDA工具Quartus II的使用,能够熟练运用Vrilog HDL语言在 Quartus II下进行工程开发、调试和仿真。 3、掌握组合逻辑电路在Quartus Ⅱ中的图形输入方法及文本输入方法, 掌握层次化设计方法。 4、掌握半加器、全加器采用不同的描述方法。 二、实验容 1、完成半加器全加器的设计,包括原理图输入,编译、综合、适配、仿真等。并将半加器电路设 置成一个硬件符号入库 2、建立更高层次的原理图设计,利用1位半加器构成1位全加器,并完成编译、综合、适配、仿 真并硬件测试 3、采用图形输入法设计1位加法器分别采用图形输入和文本输入方法,设计全加器 4、实验报告:详细叙述1位全加法器的设计流程,给出各层次的原理图及其对应的仿真波形图, 给出加法器的上时序分析情况,最后给出硬件测试流程和结果。 三、实验步骤 1、建立一个Project。 2、编辑一个VHDL程序,要求用VHDL结构描述的方法设计一个半加器 3、对该VHDL程序进行编译,修改错误。 4、建立一个波形文件。(根据真值表) 5、对该VHDL程序进行功能仿真和时序仿真 四、实验现象 任务1:半加器真值表描述方法 代码如下: 半加器是只考虑两个加数本身,而不考虑来自低位进位的逻辑电路 S=A B+A B CO=AB

代码如下: LIBRARY IEEE; --行为描述半加器 USE IEEE.STD_LOGIC_1164.ALL; ENTITY h_adder IS PORT(a,b:IN STD_LOGIC; so,co:OUT STD_LOGIC); END h_adder; Architecture FH1 OF h_adder IS Signal abc:STD_LOGIC_vector(1 downto 0); Begin abc<=a&b; --并 Process(abc) --进程 begin case abc is WHEN "00"=>SO<='0';CO<='0'; WHEN "01"=>SO<='1';CO<='0'; WHEN "10"=>SO<='1';CO<='0'; WHEN "11"=>SO<='0';CO<='1'; WHEN OTHERS =>NULL; END CASE; END PROCESS; END ARCHITECTURE FH1; 结果如下: 逻辑图 半加器真值表 A i B i S i C i 0 0 0 1 1 0 1 1 0 0 1 0 1 0 0 1

于博士Cadence视频教程原理图设计pdf

Cadence SPB 15.7 快速入门视频教程 的SPB 16.2版本 第01讲 - 第15讲:OrCAD Capture CIS原理图创建 第16讲 - 第26讲:Cadence Allegro PCB创建封装 第27讲 - 第36讲:Cadence Allegro PCB创建电路板和元器件布局 第37讲 - 第46讲:Cadence Allegro PCB设置布线规则 第47讲 - 第56讲:Cadence Allegro PCB布线 第57讲 - 第60讲:Cadence Allegro PCB后处理、制作光绘文件 第1讲 课程介绍,学习方法,了解CADENCE软件 1.要开发的工程 本教程以下面的例子来开始原理图设计和PCB布线 2.教程内容

3.软件介绍 Design Entry CIS:板级原理图工具 Design Entry HDL:设计芯片的原理图工具,板级设计不用 Layout Plus:OrCAD自带的PCB布线工具,功能不如PCB Editor强大 Layout Plus SmartRoute Calibrate:OrCAD自带的PCB布线工具,功能不如PCB Editor强大PCB Editor:Cadence 的PCB布线工具 PCB Librarian:Cadence 的PCB封装制作工具 PCB Router:Cadence 的自动布线器 PCB SI:Cadence 的PCB信号完整性信号仿真的工具 SigXplorer:Cadence 的PCB信号完整性信号仿真的工具 4.软件列表

5.开始学习Design Entry CIS 启动:Start/Cadence SPB 16.2/Design Entry CIS 启动后,显示下图: 里面有很多选项,应该是对应不同的License 本教程使用:OrCAD Capture CIS 我个人认为:Allegro PCB Design CIS XL是所有可选程序中,功能最强大的,但不知道,强在哪里;而且本教程的原理图文件可以使用上表中不同的程序打开 6.选择OrCAD Capture CIS,启动后显示下图

半加器和全加器的设计

实验一.半加器,全加器的设计1,半加器的设计, 方法一 library ieee ; use ieee.std_logic_1164.all; entity h_adder1 is port(a,b :in std_logic; c,s :out std_logic); end entity h_adder1; architecture one of h_adder1 is begin s<=a xor b;c<=a and b; end architecture one; 运行结果: 方法二: 运行结果:

2,全加器的设计 方法一: library ieee; use ieee.std_logic_1164.all; entity f_adder1 is port(a,b,cin :in std_logic; sum,cout :out std_logic); end entity f_adder1; architecture arch of f_adder1 is component h_adder1 port( a,b :in std_logic; s,c :out std_logic); end component; component or23 port (a,b :in std_logic; c: out std_logic); end component; signal x:std_logic_vector(0 to 2); begin u1: h_adder1 port map(a,b,x(1),x(0)); u2: h_adder1 port map(x(1),cin,sum,x(2)); u3: or23 port map(a=>x(0),b=>x(2),c=>cout); end arch; 运行结果: 方法二:

1.层次原理图设计

层次原理图设计 一实验目的 1 掌握层次原理图的绘制方法。 2 理解层次原理图模块化的设计方法。 二实验内容 绘制洗衣机控制电路层次原理图,包括“复位晶振模块”,“CPU模块”,“显示模块”和“控制模块”。 三实验步骤 注意:在每个原理图上都设计一个模板,内容包括:标题、姓名、学号、专业年级,日期等内容。 1 新建工程项目文件 1)单击菜单File/New/PCB Project,新建工程项目文件。 2)单击菜单File/Save Project保存工程文件,并命名为“洗衣机控制电路.PrjPCB”。 2 绘制上层原理图 1)“在洗衣机控制电路.PrjPCB”工程文件中,单击菜单File/New/Schematic,新建原理图文件。 2)单击菜单File/Save As..,将新建的原理图文件保存为“洗衣机控制电路.SchDoc” 3) 单击菜单Place/Sheet Symbol或单击“Wring”工具栏中的按钮,如图1所示,依次放置复位晶振模块,CPU模块,显示模块,控制模块四个模块电路,并修改其属性,放置后如图2所示 图1 模块电路属性

图2 放置四个模块电路 4)单击菜单P1ace/Add sheet Entry或单击“Wring”工具栏的按钮,放置模块电路端口,并修改其属性,完成后效果如图3所示 图3 放置模块电路端口 5)连线。根据各方块电路电气连接关系,用导线将端口连接起来,如图4所示 图4 连线 3 创建并绘制下层原理图 1)在上层原理图中,单击菜单Design/Create Sheet From Symbol,此时鼠标变为十字形。 2)将十字光标移到“复位晶振模块”电路上,单击鼠标左键,系统自动创建下层原理图“复位晶振模块.SchDoc”及相对应的I/O端口。如图5所示。

配电箱生产工艺设计规程完整

)配电箱生产艺规程 1、总则 1.1为贯彻GB7251 —2005《低压成套开关设备》标准,加强企业管理,保证产品质量,特制定本规程。 1 .2本规程适用于按GB7251—2005 《低压成套开关设备》标准生产的低压成套开关设备。1.3本规程对生产成套开关设备的原材料、工艺过程、产品检验及运输出厂各环节作了相应的技术规定,是企业从事成套开关设备生产的技术依据。 1.4 生产部门应严格执行本规程,并结合生产实际,制订相应的操作细则。 2、原材料的技术要求 2.1 不锈钢板 不锈钢板采用1.O~1.5S X122O X 2440mm等3042B亚光或磨砂不锈钢板,各项技术指标应符合GBT4237—92 标准规定的要求。 不同牌号,不同规格的钢板应分类整齐码放,方便起用,平码时应清除基地异物,防止钢板变形。 2.2冷轧钢板 冷轧钢板采用Q195 或Q235 优质冷轧钢板。 冷轧薄板的采用规格为1?1.5X 1250X 2500mn等,其技术条件应符合GB/T11253-1989 《优质碳素结构钢》生产标准的有关规定。 不同规格的钢板应分类整齐码放、方便起用。平码时应清除场地异物,并用支架或枕木托起防潮。 2.3电器元件 主要电器元件必须采用符合国家强制性(CCC)认证要求的相关优质产品;如人民电器集团,万家电器集团等的相关合格产品,以确保成套后的产品质量。 电器元件购物进到货后,必须分类码放于干燥的仓库内,数量不大的可分类置放于货架上,暂时不用的不要拆除包装,以免污染受潮,影响质量。 2.4一次线

一次线应采用牌号为T2 的纯(紫)铜排或铝排,其技术性能必须符合GB2059-89 中关于导电器材的要求,机械性能符合YB459-64 的要求。 不同规格的紫铜排应分类码放,短期不用时应注意防潮,并远离腐蚀性气体,以免表面锈损,影响导电质量。 2.5 二次线 二次线分电压线与电流线两种,电压线采用截面积为1.5?2.5mm的塑铜软线,电流线采用截面积为2.5?4mr^塑铜软线。 所有二次导线的技术指标必须符合GB466-64中有关导线生产的要求。 不同规格的导线必须分类码放,方便识别取用。 2.6 标准件 标准件包括M8X 16、M8X 25、M5X 60、M4X 20 螺丝;M8 M5 M4螺帽;? 8、? 4 平垫、弹簧垫等。 标准件必须符合GB30-76等国家相关产品的标准规定的技术要求。标准件必须分类置放、方便取用、注意防潮。 3、生产设备的技术要求 3.1 生产设备是指加工该产品的相关设备如:剪板机、折边机、压力机、电焊机、金工模具等。 3.2 剪板机 剪板机的加工性能必须符合相关产品的技术要求,经过加工后的板料切口必须平整、无卷边、毛刺、变形等。 剪板机必须安放平稳,机构运转灵活,操作系统正常。 3.3 折弯机折弯机分手动与电动两种,手动折边机要求机构灵巧、操作轻便;电动折边机,必须安装平稳、基础牢固、润滑充分、机构灵活、操作系统正常、准确。 折边机的性能必须符合相关产品生产的技术要求。 3.4 压力机 压力机的加工性能必须符合相关产品的技术要求 压力机必须基础牢固、安装平稳、润滑充分、机构灵活、操作系统正常、准确。 3.5 金工模具

半加器和全加器

《电子技术基础》实验报告 年级专业姓名学号 实验一数字钟实验 一、实验目的 1、初步了解数字电路的基本组成。 2、初步认识什么是数字信号、逻辑电平和逻辑关系,以及某些逻辑元件的基本逻辑功能。 3、初步接触数字电路的调试过程,以达到对数字电路有一个大体的感性认识。 二、实验任务 1、用74LS161型中规模计数器连接成一个十进制和一个六进制计数器。并 连接成一个六十进制的秒、分计数器。再用两片74LS161连接成一个二十 四进制计数器。与译码器、显示电路连接后将六十进制和二十四进制器连接 起来,完成能显示分、时的数字钟。 2、掌握译码器和计数器的大致工作原理 3、实验记录数码管的亮暗关系表,计数器、译码器输出与脉冲关系;并总结实验过程,绘 制好实验图表,体会译码器和计数器的大致工作原理,认真作好实验报告。 三、实验过程过程与结果 1、绘制数字钟电路:

实验二组合逻辑实验 实验目的: 1、掌握组合逻辑电路的功能测试方法及设计方法 2、熟悉几种典型组合逻辑电路的工作原理。 实验任务: 1、用与非门和非门(74LS00和74LS05型)设计一个半加器电路。只考虑被加数和加数的相应位相加,而不考虑相邻低位的进位,这种二进制数相加称为半加。因此,电路输入为被加数A和B加数,而输出为半加和S和向高位的进位C。要求设计出一个半加器单元。 2、用与非门和与-或-非门(74LS00和74LS54型)设计一个全加器电路。全加器与半加器相比较,输入信号多了一个低位的进位Ci-1,输出仍为全加和和向高位进位。设计一个全加器单元。 3、实验结束写出实验报告,必须包括各实验的电路图,测试后的真值表及有关数据。 三、实验过程过程与结果 1.绘制半加器电路和全加器电路: 半加器电路 全加器电路

原理图设计方法1

原理图设计方法1

原理图设计简介 本文简要介绍了原理图的设计过程,希望能对初学者有所帮助。 一.建立一个新的工程 在进行一个新的设计时,首先必须利用Project Manager对该设计目录进行配置,使该目录具有如下的文件结构。 Project Project directory

包含了该设计所用到 的所有库文件的路 径。 design library 该目 录下存放原理图等相关信息。 Design directory 下面举例说明: 启动Project Manager Open: 打开一个已有Project . New :建立一个新的Project . 点击New 如下图: worklib Design

此处添入你 的工程名 cadence将会以你所填入的project name如:myproject给project file和design library分别命 名为myproject.cpm和myproject.lib 点击下一步 Available Library:列出所有可选择的库。包括cadence自带库等。 Project Library:个人工程中将用到的所有库。 如myproject_lib 点击下一步

此处添入你 的设计名点击下一步 点击Finish完成对设计目录的配置。 为统一原理图库,所有共享的原理图库统一放在CDMA硬件讨论园地----PCB设计专栏内。 其中:libcdma 目录为IS95项目所用的器件库(已作废)。 libcdma1 目录为IS95项目之后所用的器件库(已作废)。

原理图元件库的设计步骤

原理图元件库的设计步骤 一. 了解欲绘制的原理图元件的结构 1. 该单片机实际包含40只引脚,图中只出现了38只, 有两只引脚被隐藏,即电源VCC(Pin40)和GND(Pin20)。 2. 电气符号包含了引脚名和引脚编号两种基本信息。 3. 部分引脚包含引脚电气类型信息(第12脚、第13脚、 第32至第39脚)。 4. 除了第18脚和第19脚垂直放置,其余水平放置。由 于VCC及GND隐藏,所以放置方式可以任意。 5. 一些引脚的名称带有上划线及斜线,应正确标识。 二. 新建集成元件库及电气符号库 1. 在D盘新建一个文件夹D:/student 2. 建立一个工程文件,选择File/New/Project/Integrated Library,如:Dong自制元件库.LibPkg 3. 新建一个电气符号库,选择File/New/Library/Schematic Library,如:Dong自制元件库.SchLib 4. 追加原理图元件 在左侧的SCH Library标签中,点击库元件列表框(第一个窗口)下的Add(追加)按钮,弹出New Component Name对话框,追加一个原理图元件,输入8051并确认,8051随即被添加到元件列表框中。 三. 绘制原理图元件 1. 绘制矩形元件体 矩形框的左上角定位在原点,则矩形框的右下脚应位于(130,-250)。 注意:图纸设置中各Grids都设为10mil。 2. 放置引脚 (1)P0.0~P0.7的放置及属性设置 单击实用工具面板的引脚放置工具图标,并按Tab键,系统弹出【引脚属性】对话框: 【Display Name显示名称】文本框中输入“P0.0”; 【Designator标识符】文本框中输入“39”; 【Electrical Type电气类型】文本框中选择“IO”; 在【Symbols符号】选项组的【Inside内部】文本框中选择Open Collector;

实验一 半加器和全加器的设计

实验一 半加器和全加器的设计 一、 实验目的 1、掌握图形的设计方式; 2、掌握自建元件及调用自建元件的方法; 3、熟练掌握MAXPLUS II 的使用。 二、实验内容 1、熟练软件基本操作,完成半加器和全加器的设计; 2、正确设置仿真激励信号,全面检测设计逻辑; 3、综合下载,进行硬件电路测试。 三、实验原理 1、半加器的设计 半加器只考虑了两个加数本身,没有考虑由低位来的进位。 半加器真值表: 半加器逻辑表达式:B A B A B A S ⊕=+=;AB C = LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY h_adder IS PORT( A:IN STD_LOGIC; B:IN STD_LOGIC;

SO:OUT STD_LOGIC; CO:OUT STD_LOGIC ); END ENTITY h_adder; ARCHITECTURE fh1 OF h_adder IS BEGIN SO <= A XOR B; CO <= A AND B; END ARCHITECTURE fh1; A:60ns B:30ns 2.全加器的设计 全加器除考虑两个加数外,还考虑了低位的进位。全加器真值表:

全加器逻辑表达式: 1-⊕⊕=i i i i C B A S ;AB C B A C i i i i +⊕=-1)( 3、利用半加器元件完成全加器的设计 (1)图形方式 其中HADDER 为半加器元件。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY f_adder IS PORT(ain,bin,cin:IN STD_LOGIC; cout,sum:out STD_LOGIC); END ENTITY f_adder; ARCHITECTURE fd1 OF f_adder IS COMPONENT h_adder PORT( A:IN STD_LOGIC; B:IN STD_LOGIC;

protel 原理图设计步骤

protel 99 se原理图设计步骤 1.1 protel 99 se电路板设计步骤 一般而言,设计电路板最基本的过程可以分为三大步骤。 1电路原理图的设计 电路原理图的设计主要是protel 99 se的原理图设计系统(Advanced Schematic)来绘制一张电路原理图。在这一过程中,要充分利用protel 99 se所提供的各种原理图绘图工具、各种编辑功能,来实现我们的目的,即得到一张正确、精美的电路原理图。 2产生网络表 网络表是电路原理图设计(SCH)与印制电路板设计(PCB)之间的一座桥梁,它是电路板自动的灵魂。网络表可以从电路原理图中获得,也可从印制电路板中提取出来。 3印制电路板的设计 印制电路板的设计主要是针对protel 99 se的另外一个重要的部分PCB而言的,在这个过程中,我们借助protel 99 se提供的强大功能实现电路板的版面设计,完成高难度的等工作。 1.2 绘制简单电路图 1.2.1 原理图设计过程 原理图的设计可按下面过程来完成。 4设计图纸大小 首先要构思好零件图,设计好图纸大小。图纸大小是根据电路图的规模和复杂程度而定的,设置合适的图纸大小是设计好原理图的第一步。 5设置protel 99 se/Schematic设计环境 包括设置格点大小和类型,光标类型等等,大多数参数也可以使用系统默认值。 6旋转零件 用户根据电路图的需要,将零件从零件库里取出放置到图纸上,并对放置零件的序号、零件封装进行定义和设定等工作。

7原理图布线 利用protel 99 se/Schematic提供的各种工具,将图纸上的元件用具有电气意义的导线、符号连接起来,构成一个完整的原理图。 8调整线路 将初步绘制好的电路图作进一步的调整和修改,使得原理图更加美观。 9报表输出 通过protel 99 se/Schematic提供的各种报表工具生成各种报表,其中最重要的报表是网络表,通过网络表为后续的电路板设计作准备。 10文件保存及打印输出 最后的步骤是文件保存及打印输出。 原理图的设计流程图如下图1-1所示。 图1-1原理图设计流程 1.2.2 新建一个设计库 (1)启动Protel 99 se,出现以下启动界面,如图1-2所示。

红枣烘房—温湿度自动控制系统设计方案

1 引言 温度和湿度是工农业生产中常见的工艺参数之一,任何物理变化和化学反应过程都与温度和湿度密切相关。在科学研究和生产实践的诸多领域中, 温度和湿度控制占有着极为重要的地位。对于不同生产情况和工艺要求下的温度控制,所采用的加热方式、燃料、控制方案也有所不同;同时排湿方式不同,其控制方式也不相同。例如冶金、机械、食品、化工等各类工业生产中加热装置广泛使用的各种加热炉、热处理炉、反应炉等,排湿装置多采用轴流式风机,燃料有煤气、天然气、油、电等[1]。温度和湿度控制系统的工艺过程复杂多变,具有不确定性,因此对系统要求更为先进的控制技术和控制理论。 可编程控制器,破坏果肉水分向外排放的通道,不利于枣果水分排放。 第2阶段为恒温排湿阶段,是整个烘干过程中主要时期。其特点是需要大火,持

1位全加器原理图输入设计

南昌航空大学实验报告 年月日 课程名称: EDA技术实验课程名称: 1位全加器原理图输入设计 班级:_09083114___姓名: 同组人:___________________________ 指导老师评定:___________________________签名:________________________ 实验目的 1、熟悉利用Quartus II的原理图输入方法设计简单组合电路,掌握层次化设计的方法,并通过一个全加器的设计把握利用EDA软件进行电子线路设计的详细步骤; 2掌握利用EDA工具进行原理图输入这几、仿真、综合的方法。 实验原理 1位全加器可由两个半加器及或门连接而成;多为全加器可以由多个1位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加法器的最低进位输入信号cin相接。 实验步骤 1.要设计的半加器为h_adder,如图4-1所示,a为被加数,b为加数,so为何,co为进位输出。 图4-1 1为半加器原理图 原理图设计完成后进行编译,编译通过进行下一步操作。 2.波形仿真如图4-2

图4-2 半加器波形仿真图 由波形图可以看出,当a和b都为1是,产生进位,此时co为1,so为0,只有a或者b为1时,不产生进位,co为0,so为1,a和b 都为0时,co和so都为0。 3、1位全加器由两个半加器及或门连接而成,如图4-3所示,ain为被加数,bin 为加数,cin为进位输入,sum为和,cout为进位输出。 图4-3 1位全加器原理图 原理图设计完成后进行编译,编译通过进行下一步操作。 4、1位全加器波形仿真如图4-4所示 图4-4 1位全加器波形仿真图 由波形图的1位全加器的真值表如表4.1所示

相关文档
最新文档