知识单元组合逻辑电路

知识单元组合逻辑电路
知识单元组合逻辑电路

第三章 组合逻辑电路

S11101B

在下图所示的组合电路框图中,若m A A A ,,,21 为输入逻辑变量,n Y Y Y ,,,21 为输出逻辑函数,其输入和输出

间的函数关系可表示为:

=1Y ,由此可见,组合电

路的输出只决定于 而与 无关。

解:

),,,(21m A A A F 、该时刻输入变量、信号作用前电路状态 S11101G

中规模集成BCD 8421码七段显示译码器主要包括三个部分,即 、 和 。

解:

30~A A 输入端、g a Y Y ~输出端、C B Y I 灯控制端

S11101I

组合逻辑电路的设计步骤为: (1) ;(2) ;(3)简化和变换逻辑表达式,从而画出逻辑图。

解:

⑴由电路的功能要求,列出真值表;(2)由真值表写出逻辑表达式; S11101N

4线-10线译码器有 个输入端, 个输出端, 个不用的状态。

解: 4、10、6 S11102B

分析组合逻辑电路的步骤为:

(1) ; (2) ; (3) ;

(4)根据真值表和逻辑表达对逻辑电路进行分析,最后确定其功能。 解:

由逻辑图写出个输出端逻辑表达式、化简和变换各逻辑表达式、列出真值表 S11102I

如图所示逻辑图,逻辑表达式1F = ;

F = 。

解:

01101==??=A F ;B B F F =+=1

S11102N

三个JK 触发器组成的计数器,最多有效状态是 个,它是 进制计数器;若要构成五进制计数器,最少需 个触发器,它的无效状态有 个。

解:

8、八、三、3 S11103B

数字比较器是用于对两数 ,以判断其 的逻辑电路。 解:

进行比较、大小

S11201B

以下各电路中属于组合逻辑电路有( )。 A. 编码器 B. 译码器 C. 寄存器 D. 计数器 解: A B S11201G

下图右侧电路为一种二极管——三极管的逻辑门,它的逻辑符号为( )。

解:C S11201I

如图所示逻辑电路其逻辑表达式为( )。 A. B A Y +=

B. ))((B A B A Y +?=

C. ()B A Y ?=

D. ()()B A B A Y +??= 解:D S11201N

13874LS 是3线—8线译码器,译码为输入低电平有效,若输入为100012=A A A 时,输出

01234567Y Y Y Y Y Y Y Y 为( )。

A. 00010000

B. 11101111

C. 1111011

D. 00000100

解:B S11202B

组合逻辑电路任何时刻的输出信号与该时刻的输入信号( ),与电路原来所处的状态( )。

A. 无关,无关

B. 无关,有关

C. 有关,无关

D. 有关,有关 解:C S11202G

逻辑函数∑

+=m

d

D C B A L )15,14,13,12,11,10()9,6,5,2,1(),,,(,化简结果为( )。

A. D C A D C B D C A ++

B. D C A D C B CD A ++

C. D C D C +

D. CD D C + 解:C S11202I

半加器的逻辑关系是( )。 A. 与非 B. 或非 C. 与或非 D. 异或 解:D S11202N

在四变量卡诺图中,逻辑上不相邻的一组最小项为( )。 A. 31,m m B. 64,m m

C. 135,m m

D. 100,m m

解:D S11203G

已知CD ABC F +=选出下列可以肯定使0=F 的情况是( )。 A. 1,0==BC A B. 1,1==C B

C. 0,1==D C

D. 1,1==D BC

E. 0,1==CD AB 解:D S11203I

在函数K =AB +CD 的真值表中,1=F 的状态有( )。 A. 2个 B. 4个 C. 6个 D. 7个 E. 16个

解:D S11203N

图示为一简单的编码器,其中E 、F 、G 是一般信号,A 、B 是输出量,为二进制代码变量。今令 AB = 10 ,则输入的信号为1的是( )。

A. E

B. F

C. G 解:B S11301B

编码器,译码器,数据选择器都属于组合逻辑电路。( ) 解:√ S11301G

组合逻辑电路正常工作,n 个输入端只有一个输入信号。( ) 解:×。 S11301I

数据选择器能从多个输入信号中选择2个信号送到输出端。( ) 解:× S11301N

全加器的输出不仅取决于输入,同时还取决于相邻低位的进位,因此说全加器属于时序逻辑电路。( )

解:× S11302B

用二进制代码表示某一信息称为编码。反之把二进制代码所表示的信息翻译出来称为译码。( )

解:√ S11302G

在下列电路中,试问哪些电路能实现B A Y ⊕=的逻辑关系?

解:

A.√、

B.×、

C.× S11302I

某一时刻编码器只能对一个输入信号进行编码。( ) 解:√

S11302N

图中均为TTL 电路,试问哪些电路能实现CD AB +的逻辑关系?

解:

(a )√ 、(b )×、(c )× 、(d )×

S11104B

电路如图所示,其输出F 对A 、B 、C 的最简与或式为:F = 。

解:

C B A ++

S11105B

在如图所示电路中,输出F 对输入A 、B 、C 的最简与或式为: F = 。

最简或与式为:

F = 。 解:

C B A C AB +、))((C B C B A ++

S11106B

如图所示的卡诺图中,函数F 至少用 个或非门实现。设输入原、反变量都提供。

解:3

S11107B

逻辑电路如图所示,则它对应的卡诺图为:(作出函数F 的卡诺图)

解:

卡诺图如右所示。 S11108B

画出用两个异或门实现逻辑函数ABC C B A C B A C B A F +++=。

解:

如右图所示。 S11109B

设A 1、A 0为四选一数据选择器的地址码,X 0~X 3为数据输入,Y 为数据输出,则输出Y 与X i 和

A i 之间的逻辑表达式为Y = 。

解:

301201101001X A A X A A X A A X A A +++ S11110B

在如图所示电路中,输出1F 、2F 、3F 、4F 分别为:

=1F ; =2F ; =3F ; =4F 。

解:

)(D B A +、CD D B +、C +D 、CD

S11103I

四位加法器和异或门构成的电路如图所示。A 4~A 1表示被加数(被减数)、B 4~B 1表示加数(减数),K 为控制输入端,输出端为S 4、S 3、S 2、S 1、C 4,当K = 时,该电路进行四位二进制加法运算,当K = 时,则进行减法运算。

解: 0、1 S11104I

在如图所示电路中,输出F 的异或表达式为: =F 。 解: B A ⊕ S11105I

已知某组合电路的输入A 、B 、C 、D 及

输出F 的波形如图所示,则F 对A 、B 、C 、D 的最简逻辑表达式为:

=F 。 解:

C B

D C C A ++,

或者:))()((C B D C C A +++

S11106I

设四位信息码a 1、a 2、a 3、a 4是四位二进制码,若电路采用奇校验,则监督码元(校验位)C 的逻辑表达式为C = 。

解:

14321⊕⊕⊕⊕a a a a S11107I

八路数据选择器连接图如图所示,则它所实现的函数是:

=),,(C B A F 。

解:C B C A B A ++,

或者:C B C A B A ++ S11108I

若采用偶校验方式,信息码为1110101的监督码元为 。 解:1 S11109I

设输入变量为A 、B 、C ,判别三个变量中有奇数个1时,函数F =1,否则F =0,其实现它的

异或表达式为F = 。

解:C B A ⊕⊕ S11110I

函数D C B A D BC A D C B A D C B A F +++=,在只有原变量输入条件下,用与门和异或门实现它,则其函数式为=F 。

解:

))((D C B A ⊕⊕ S11103N

如图所示为四路选择器逻辑电路,AB 为选择信号,当AB =00时,F = ,当AB =10时,F = 。

解: 1、C

S11104N

设A 、B 、C 、D 、E 为五个开关,设它们闭合时为逻辑1,断开时为逻辑0,电灯F =l 时表示灯亮,F =0时表示灯灭,则函数F = 可使在五个不同的地方控制同一电灯F 的亮、灭。

解:

E D C B A ⊕⊕⊕⊕, 或者:A ⊙B ⊙C ⊙D ⊙E S11105N

用异或门实现六位码A 、B 、C 、D 、E 、F 的奇偶校验电路,要求当奇数个1时,输出Y =1,否则Y =0,则其逻辑表达式为Y = 。

解:

F E D C B A ⊕⊕⊕⊕⊕ S11106N

画出用4只三输入的或非门实现函数∑

=m

C B A F )4,1(),,(的电路,输入只提供原变量。

解:

电路如右图所示。

S11107N

画出用四路选择器实现函数D C B A F ⊕⊕⊕=的电路。

解:

电路如右图所示。

用两片8421BCD 码—十进制数译码器(Ⅰ、Ⅱ)实现余3码—十进制数译码器如图9—12所示,输入的余3码为E 3、E 2、E 1、E 0,其输出线Y 0~Y 9的连线应为:

片Ⅰ: ;片Ⅱ: 。

解:

3~9连Y 0~Y 6、2~4连Y 7~Y 9 S11109N

八路数据选择器所构成的电路如图所示,A 2、A 1、A 0为地址码,D 0~D 7为数据输入,则该电路所实观的函数是F = 。

解:

m

)5,4,1(

S11110N

如图所示电路是一个用四位加法器构成的代码变换电路,若输入信号F 3、F 2、F 1、F 0为余3BCD 码,则输出端S 3S 2S 1S 0是 代码。

解:BCD 8421 S11102G

一个三变量排队电路,在同一时刻只有一个变量输出,若同时有两个或两个以上变量为1时,则按A 、B 、C 的优先顺序通过,若F A =1表示A 通过,F B 、F C 为1表示B 、C 通过,F A 、F B 、F C 为0时表示其不通过,则表示变量A 、B 、C 通过的表达式:

F A = ,F B = ,F C = 。

解:A 、B A 、C B A S11103G

如图所示电路是一个用四位加法器构成的代码变换电路,若输入信号b 3b 2b 1b 0为8421BCD 码,则输出端S 3S 2S 1S 0是 代码。

解:余BCD 3

如图所示译码器,当70~Y Y 分别被译中时,输入的地址码A 7~A 0的范围是十六进制

数 。

解:

COH ~ C7H S11105G

电路如图所示,图中A 、B 为输入端,E 为使能端,0Y 、1Y 、2Y 、3Y 是输出端,该电路的逻辑功能是 。

解:2线—4线译码器

S11218B

一个16选1的数据选择器(十六路数据选择器),其地址输入(选择控制输入)端有( )。 A. 1个 B. 2个 C. 4个 D. 8个 解:C S11219B

在下列逻辑电路中,不是组合逻辑电路的有( )。 A. 译码器 B. 编码器 C. 全加器 D. 寄存器 解:D S11220B

八路数据分配器,其地址输入端(选择控制端)有( )。 A. 1个 B. 2个 C. 3个 D. 4个 解:C S11214I

由集电极开路门构成的逻辑电路如图所示,则它所完成的逻辑功能是F 为( )。

A. B A ⊕

B. B A ⊕

C. AB B A +

D. AB B A ? 解:

A 、C 、D

八路数据选择器如图所示,则它所对应的卡诺图是图中的( )。

解: (a )、(b ) S11204N

八路数据选择器如图所示,该电路实

现的逻辑函数F 等于( )。

A. B A B A +

B. AB B A +

C. B A ⊕

D. B A + 解: A 、C S11205N

八路数据选择器如图所示,该电路所实现的逻辑函数F 是( )。

A. C B A BC A D BC D C A +++

B.

∑m

)14,13,9,8,7,6( C. ∑

m

)14,13,9,8,7,6,1(

D. ))()()((C B D C A D C B C A ++++++

解:

A 、

B 、D S11206N

组合逻辑电路如图所示,它所对应的卡诺图是图中的( )。

解: (a )、(b )

S11207N

如图所示的组合逻辑电路,其函数表达式为( )。 A. D C BD AB F ++=

B. ∑=

m

F )15,14,13,12,8,7,5,4,0(

C. ∑

=m

F )11,10,9,6,3,2,1(

D. ))()((D C A C B D B F ++++= 解:

A 、

B 、

C 、

D S11204G

某函数的正逻辑表达式F 正(A ,B ,C )=∑

m

)7,4,2,1(,则其负逻辑表达式F 负(A ,B ,C )为( )。 A. C B A ⊕⊕

B. ))()()((C B A C B A C B A C B A ++++++++

C. A ⊙B ⊙C

D. ABC C B A C B A C B A +++ 解:

A 、

B 、

C 、

D S11205G

在如图所示电路中,使函数F = 0的变量取值为( )。 A. A =B =1 B. B =C =1 C. B =1,D =0 D. A =B = C =0,D =1 解:

A 、

B 、

C 、

D S11210I

逻辑状态表如下所示,指出能实现该功能的逻辑部件是( )。 A. 二进制译码器 B. 十进制编码器 C. 二进制编码器

解: C

S11211B

二位二进制译码器的状态表如下所示,写出的“与”逻辑式应是( )。 A. B A Y =0,B A Y =1,B A Y =2,AB Y =3 B. AB Y =0,B A Y =1,B A Y =2,B A Y =3

C. B A Y +=,B A Y +=,B A Y +=,B A Y +=3

解:A

S11212B

半加器的逻辑状态表为( )。

B. C.

解:B S11213B

半加器逻辑符号如图所示,当A =“0”,B =“0”时,C 和S 分别为( )。

A. 0=C 、1=S

B. 1=C 、0=S

C. 0=C 、0=S 解:C

S11214B

二进制编码表如下所示,指出它的逻辑式为( )。

A. 32Y Y B +=、31Y Y A +=

B. 10Y Y B +=、32Y Y A +=

C. 32Y Y B +=、20Y Y A +=

解:A S11211I

二位二进制译码器的逻辑式为:Y 0=B A 、Y 1=B A 、Y 2=B A 、Y 3=BA ,由逻辑式画出的逻辑电路为(

)。

解:C S11215B

若把某一全加器的进位输出接至另一全加器的进位输入,则可构成( )。 A. 二位并行进位的全加器 B. 二位串行进位的全加器 C. 一位串行进位的全加器

解:B S11216B

逻辑电路如图所示,F =“0”的条件是( )。 A. ABC =110 ABC =111

B. ABC =001 解:A S11217B

二—十进制显示译码器用于将二进制代码译成(

)。

A. 二—十进制数字

B. 十进制数字

C. 二进制数字 解:B S11218B

半加器的逻辑图如下,指出它的逻辑式为( )。

A. B A S ⊕=、AB C =

B. B A B A S +=、B A C =

C. B A S ⊕=、AB C = 解:A S11219B

全加器逻辑符号如图所示,当A i =“1”,B i =“1”,C i-1=“1”时,C i-1和S i 分别为( )。

A. C i = 1 、S i = 0

B. C i = 0 、S i = 1

C. C i = 1 、S i = 1 解:C S11220B

图示逻辑电路的逻辑式为( )。 A. =F A B C ++

B. =F A B C ++

C. C B A F = 解: C

S11212I

二位二进制编码器的逻辑式为B =Y Y 23?,A =Y Y 13?,由逻辑式画出的逻辑图为( )。

解:(c ) S11213I

逻辑电路如图所示,其逻辑功能相当于一个( )。 A. “与”非门 B. “异或”门 C. “与或非”门 解:C S11214I

已知二位二进制译码器的状态表,用“与”门实现译码的电路为( )。

解:B

S11215I

逻辑状态表如下所示,指出能实现该功能的逻辑部件是( )。

A. 十进制译码器

B. 二进制译码器

C. 二进制编码器

解:B

S11216I

逻辑状态表如下所示,指出能实现该功能的逻辑部件是( )。

A. 二进制译码器

B. 十进制编码器

C. 二进制编码器

解:C

S11217I

图示为采用共阴极数码管的译码显示电路,若显示

码数是2,译码器输出端应为( )。

A. a=b=d=e=“1”、g=c=f=“0”

B. a=b=d=e=g=“0”、c=f=“1”

C. a=b=c=d=e=g=“1”、c=f=“0”

D. a=b=d=e=g=“1”、c=f=“0”

解:D

S11210B

编码器的逻辑功能是( )。

A. 把某种二进制代码转换成某种输出状态

B. 将某种状态转换成相应的二进制代码

C. 把二进制数转换成十进制数

解:B

( )。

A. 二进制译码器 C. 二进制编码器 解:B S11209I

逻辑电路如图所示,半加器为( )。

解:A S11208I

采用共阳极数码管的译码显示电路如图所示,若显示数码是0,译码器输出端应为( )。

A. a =b =c =d =e =f =g =“0”

B. a =b =c =d =e =f =“0”、g =“1”

C. a =b =c =d =e =f =“1”、g =“0” 解:B S11208B

(b ) (c )

解:(a ) S11207B

全加器逻辑符号如图所示,当A i =“1”,B i =“1”,C i-1=“0”时,C i 和S i 分别为( )。

A. C i = 0、S i =0

B. C i =1、S i =1

C. C i =1、S i =0 解:C S11206B

半加器逻辑符号如图所示,当A =“1”,B =“1”时,C 和S 分别为( )。

A.

C = 0、S = 0 B. C = 0、S

= 1

C.

C = 1、S = 0 解:C

在如图所示的电路中,当ABC = 000时,输出Y = 0。( )

解:×

S11304B

在如图所示的电路中,当ABC = 111时,输出Y = 0。( )

解:√

S11305B

在如图所示的电路中,当ABC = 011时,输出Y = 0。( )

解:×

S11303I

在如图所示的电路中,当S0S1S2S3 = 0000时,输出Y = 1。( )

解:√

S11304I

在如图所示的电路中,当S0S1S2S3 = 1111时,输出Y = 1。( )

解:×

S11305I

在如图所示的电路中,当S0S1S2S3 = 1010时,输出Y = A。( )

解:√

S11303N

在如图所示的电路中,当S0S1S2S3 = 1000时,输出Y = AB。( )

解:×

S11304N

S1S2S3 = 1110时,输出Y =

在如图所示的电路中,当S

AB。()

解:√

S11305N

在如图所示的电路中,当S0S1S2S3= 0011时,输出Y

=B。( )

解:×

S11303G

在如图所示的电路中,当S 0S 1S 2S 3 = 0100时,输出Y =B A +。( )

解:√ S11304G

在如图所示的电路中,当S 0S 1S 2S 3 = 0111时,输出Y

=B A +。( )

解:× S11305G

在如图所示的电路中,当S 0S 1S 2S 3 = 0110时,输出Y =B A AB +。( )

解:√ S11401B 已知逻辑函数A C C B B A F ++=,试用真值表,卡诺图及逻辑图表示。

解:真值表如表(a),卡诺图如图(b),逻辑图如图(c)、(d)。图(c)是用与或门,图(d)用与非门组成。

S11401G

由与或门组成的组合逻辑电路,用示波器测得输入和输出波形如下图所示,试列出该电路的真值表、逻辑表达式和逻辑图。

解:

该电路的真值表、逻辑表达式和逻辑图分别如右图(a)、(b)、(c)所示。

S11401I

图(a)是某个同学设计的组合电路,所要实现的逻辑功能见图(b),图中LED是显示用的发光二极管(要求输出为高电平时亮,低电平时灭)。检查电路有无错误,若有请在有错误的地方打上“×”号并改正(仍用异或门和反相器)。

解:

S11401N

用三个拉线开关(双刀双掷开关)设计一个室内照明线路:房门入口处有一个开关A ,床边有开关B 和C ,三个开关都可将电灯点亮、关闭。

解:

设各开关原处于0态(常闭触头闭合,常开触头打开。)第一次拉开关后处于1态,(常开触头闭合,常闭触头打开。)第二次拉开关后回到0态。第三次再拉开关又处于1态。灯L 点亮为1,反之为0。

根据题意可以列出下列表(a )、(b )、(c ),表示其互间的逻辑关系:初始状态及第一、二次拉开关的逻辑关系表示在表(a ):若第二次拉的开关是C ,第三次再次拉开关的逻辑关系表示在表(b );若第三拉的开关是B ,第三次拉开关的逻辑关系表示在表(c )。

分析(a )、(b )、(c )三表,在逻辑关系上无矛盾。灯L 点亮的条件可用下列函数式来表示:

)()()(C B C B A BC C B A BC C B A C B A C B A ABC C B A L +++++=++++=因此可

画出图所示的电路图。图中A 、B 、C 表示开关的常闭触头,A 、B 、C 表示开关的常开触头。

S11402B

一个三位二进制数码由高位至底位分别送至电路的三个输入端,要求三位数码中有奇数个1时,电路输出为1,否则为0。

解:

(1)根据给定的逻辑要求,列出真值表如表(a )。 (2)由表(a )画卡诺图,如图(b ),得:

ABC C B A C B A C B A F +++=

)()(AC C A B C A C A B +++= 式中:

AC AC AC C A ?=+

C A C A C A C A +=++=))((

∴ )()(C A C A B C A C A B F +++=

C A B ⊕⊕=

这就是实现(a )的逻辑表达式。

(3)画逻辑图:由逻辑表达式可画逻辑图如图(c )所示。它由两个异或门构成。

S11402G

试用四选一数据选择器产生逻辑函数,使用的中规模集成

电路四选一组件的符号如图所示。

(1)B A Y ⊕= (2)A Y =⊙B

(3)∑=m

Y )7,5,3,0( (4)∑

=m

Y )15,11,9,7,2,1(

解:

S11402I

设有一个组合逻辑部件,不知其内部结构,但测得其输入和输出信号的波形如图所示。试写出其逻辑表达式,并用与非门实现它的功能。

解:

根据其输入和输出信号波形,可列出其真值表(a ),画出卡诺图(b )。由卡诺图得

C

B A

C B A C B A C B A C B A C B A Z Z C

B A

C B A C B A Z ??=++==++=

进而画出逻辑图,如图(c )所示。

S11402N

如图所示电路,假设起始状态Q 1= 0,按图中给定CP 、J 1波形画出Q 1波形(忽略t pd )。

《简单的逻辑电路》教学设计

《简单的逻辑电路》教学设计 陶号专 一、教学目标 1.知识与技能 (1)知道三种门电路的逻辑关系、符号及真值表; (2)会用真值表表示一些简单的逻辑关系; (3)会分析、设计一些简单的逻辑电路。 2.过程与方法 (1)通过实例与实验,理解“与”、“或”、“非”逻辑电路中结果与条件的逻辑关系;(2)通过简单的逻辑电路设计,体会逻辑电路在生活中的意义。 3.情感态度与价值观 (1)体验物理知识与实践的紧密联系; (2)学生在自主探究、交流合作中获得知识,体会学习的快乐。 二、教学重、难点 重点:三种门电路的真值表及符号。 难点:数字电路的意义。 三、教学过程 一、请学生参照下表自主复习(可讨论)本节基本知识并填写下表 A B Y & A B Y ≥1 A Y 1

二、练习巩固和能力提升(学生分析回答) 例1、如图所示为逻辑电路,根据电路图完成它的真值表.其输出端从上到下排列,结果正确的是( ) A.0,0,1,1 B.0,0,1,0 C.1,0,1,0 D.0,0,0,1 答案:B 例2、下图中a、b、c表示“或门”、“与门”或者“非门”的输入信号或输出信号,下列说法中正确的是:() a b c A、若a、c为输入信号,b为输出信号,则该电路是“或门”电路 B、若a为输入信号,b为输出信号,则该电路是“非门”电路 C、若b、c为输入信号,a为输出信号,则该电路是“与门”电路 D、若a、b为输入信号,c为输出信号,则该电路是“与门”电路 引导学生归纳: 在门电路中,真值表中的“输入”、“输出”信号“0”、“1”代表的含义是输入、输出端接低电势、高电势。 电路中,沿着电流的方向电势逐渐降低,电流I通过电阻R后,电势降低“IR”。 例3、下列电路图中开关处于什么情况时,电压表有示数?

组合逻辑电路基础知识、分析方法

组合逻辑电路基础知识、分析方法 电工电子教研组徐超明 一.教学目标:掌握组合逻辑电路的特点及基本分析方法 二.教学重点:组合逻辑电路分析法 三.教学难点:组合逻辑电路的特点、错误!链接无效。 四.教学方法:新课复习相结合,温故知新,循序渐进; 重点突出,方法多样,反复训练。 组合逻辑电路的基础知识 一、组合逻辑电路的概念 [展示逻辑电路图]分析得出组合逻辑电路的概念:若干个门电路组合起来实现不同逻辑功能的电路。 复习: 名称符号表达式 基本门电路与门Y = AB 或门Y = A+B 非门Y =A 复合门电路 与非门Y = AB 或非门Y = B A+ 与或非门Y = CD AB+ 异或门 Y = A⊕B =B A B A+ 同或门 Y = A⊙B =B A AB+ [展示逻辑电路图]分析得出组合逻辑电路的特点和能解决的两类问题: 二、组合逻辑电路的特点 任一时刻的稳定输出状态,只决定于该时刻输入信号的状态,而与输入信号作用前电路原来所处的状态无关。不具有记忆功能。

三、组合逻辑电路的两类问题: 1.给定的逻辑电路图,分析确定电路能完成的逻辑功能。 →分析电路 2.给定实际的逻辑问题,求出实现其逻辑功能的逻辑电路。→设计电路 14.1.1 组合逻辑电路的分析方法 一、 分析的目的:根据给定的逻辑电路图,经过分析确定电路能完成的逻辑功能。 二、 分析的一般步骤: 1. 根据给定的组合逻辑电路,逐级写出逻辑函数表达式; 2. 化简得到最简表达式; 3. 列出电路的真值表; 4. 确定电路能完成的逻辑功能。 口诀: 逐级写出表达式, 化简得到与或式。 真值表真直观, 分析功能作用大。 三、 组合逻辑电路分析举例 例1:分析下列逻辑电路。 解: (1)逐级写出表达式: Y 1=B A , Y 2=BC , Y 3=21Y Y A =BC B A A ??,Y 4=BC , F=43Y Y =BC BC B A A ??? (2)化简得到最简与或式: F=BC BC B A A ???=BC BC B A A +??=BC C B B A A +++))(( =BC C B A B A BC C B B A +??+?=++?)(=BC B A BC C B A +?=++?)1( (3)列真值表: A B C F 0 0 0 1 0 0 1 1 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 (4)叙述逻辑功能: 当 A = B = 0 时,F = 1 当 B = C = 1 时,F = 1 组合逻辑电路 表达式 化简 真值表 简述逻辑功能

数电填空题知识点总结

1、逻辑代数有与、或和非三种基本运算。 2、四个逻辑相邻的最小项合并,可以消去__2________个因子;__2n _______个逻辑相邻的最小项合并,可以消去n个因子。 3、逻辑代数的三条重要规则是指反演规则、代入规则和对偶规则。 4、 n个变量的全部最小项相或值为 1 。 6、在真值表、表达式和逻辑图三种表示方法中,形式唯一的是真值表。 8、真值表是一种以表格描述逻辑函数的方法。 AB相邻的最小项有 AB’C’, ABC , 9、与最小项C A’BC’。 10、一个逻辑函数,如果有n个变量,则有 2n个最小项。 11、 n个变量的卡诺图是由 2n个小方格构成的。 13、描述逻辑函数常有的方法是真值表、逻辑函数式和逻辑图三种。 14、相同变量构成的两个不同最小项相与结果为 0 。 15、任意一个最小项,其相应变量有且只有一种取值使这个最小项的值为1 。 1.在数字电路中,三极管主要工作在和两种稳定状态。 饱和、截止 2.二极管电路中,电平接近于零时称为,电平接近于VCC是称为。 低电平、高电平 3.TTL集成电路中,多发射极晶体管完成逻辑功能。 与运算 4.TTL与非门输出高电平的典型值为,输出低电平的典型值为。 、 5.与一般门电路相比,三态门电路中除了数据的输入输出端外,还增加了一个片选信号端,这个对芯片具有控制作用的端也常称为。 使能端 6.或非门电路输入都为逻辑1时,输出为逻辑。 7.电路如图所示,其输出端F的逻辑状态为。 1 8.与门的多余输出端可,或门的多余输出端可。 与有用输入端并联或接高电平、与有用输入端并联或接低电平 10.正逻辑的或非门电路等效于负逻辑的与非门电路。 与非门 11.三态门主要用于总线传输,既可用于单向传输,也可用于双向传

门电路与组合逻辑电路

第七章门电路与组合逻辑电路 习题一 一、选择题 1. 三态门输出高阻状态时,是正确的说法。 A.用电压表测量指针不动 B.相当于悬空 C.电压不高不低 D.测量电阻指针不动 2. 以下电路中可以实现“线与”功能的有。 A.与非门 B.三态输出门 C.集电极开路门 D.漏极开路门 3.以下电路中常用于总线应用的有。 A.T S L门 B.O C门 C.漏极开路门 D.C M O S与非门 4.逻辑表达式Y=A B可以用实现。 A.正或门 B.正非门 C.正与门 D.负或门 5.T T L电路在正逻辑系统中,以下各种输入中相当于输入逻辑“1”。 A.悬空 B.通过电阻 2.7kΩ接电源 C.通过电阻 2.7kΩ接地 D.通过电阻510Ω接地 6.对于T T L与非门闲置输入端的处理,可以。 A.接电源 B.通过电阻3kΩ接电源 C.接地 D.与有用输入端 并联 7.要使T T L与非门工作在转折区,可使输入端对地外接电阻R I。 A.>R O N B.<R O F F C.R O F F<R I<R O N D.>R O F F 8.三极管作为开关使用时,要提高开关速度,可。 A.降低饱和深度 B.增加饱和深度 C.采用有源泄放回路 D.采用抗饱和三极管 9.C M O S数字集成电路与T T L数字集成电路相比突出的优点是。 A.微功耗 B.高速度 C.高抗干扰能力 D.电源范围宽 10.与C T4000系列相对应的国际通用标准型号为。 A.C T74S肖特基系列 B.C T74L S低功耗肖特基系列 C.C T74L低功耗系列 D.C T74H高速系列 二、判断题(正确打√,错误的打×) 1.TTL与非门的多余输入端可以接固定高电平。() 2.当TTL与非门的输入端悬空时相当于输入为逻辑1。() 3.普通的逻辑门电路的输出端不可以并联在一起,否则可能会损坏器件。() 4.两输入端四与非门器件74LS00与7400的逻辑功能完全相同。() 5.CMOS或非门与TTL或非门的逻辑功能完全相同。()

习题1-门电路和组合逻辑电路

第20章习题 门电路和组合逻辑电路 S10101B 为实现图逻辑表达式的功能,请将TTL 电路多余输入端C 进行处理(只需一种处理方法),Y 1的C 端应接 ,Y 2的C 端应接 , 解:接地、悬空 S10203G 在F = AB +CD 的真值表中,F =1的状态有( )。 A. 2个 B. 4个 C. 3个 D. 7个 解:D S10203N 某与非门有A 、B 、C 三个输入变量,当B =1时,其输出为( )。 A. 0 B. 1 C. D. AC 解:C S10204B 在数字电路中,晶体管的工作状态为( )。 A. 饱和 B. 放大 C. 饱和或放大 D. 饱和或截止 解:D S10204I 逻辑电路如图所示,其逻辑函数式为( )。 A. B. C. D. 解:C S10204N 已知F =AB +CD ,选出下列可以肯定使F = 0的情况( )。 A. A = 0,BC = 1 B. B = C = 1 C. C = 1,D = 0 D. AB = 0,CD = 0 解:D S10110B 三态门电路的三种可能的输出状态是 , , 。 解:逻辑1、逻辑0、高阻态 S10214B 逻辑图和输入A ,B 的波形如图所示,分析当输出F 为“1”的时刻应是( )。 A. t 1 B. t 2 C. t 3 解:A Y

S10211I 图示逻辑电路的逻辑式为( )。 A. B. C. 解:B S10212I 逻辑电路如图所示,其功能相当于一个( )。 A. 门 B. 与非门 C. 异或门 解:C S10216B 图示逻辑电路的逻辑式为( )。 A. A +B B. C. AB + 解:C S10217B 逻辑图如图(a )所示,输入A 、B 的波形如图(b ),试分析在t 1瞬间输出F 为( )。 A. “1” B. “0” C. 不定 解:B S10218B 图示逻辑符号的逻辑状态表为( )。 A. B. C. 解:B

人教版高中物理选修3-1知识点整理及重点题型梳理] 简单逻辑电路

人教版高中物理选修3-1 知识点梳理 重点题型(常考知识点)巩固练习 简单的逻辑电路 【学习目标】 1.知道数字电路和模拟电路的概念,了解数字电路的优点。 2.知道“与”门、“或”门、“非”门电路的特征,逻辑关系及表示法。 3.初步了解“与”门、“或”门、“非”门电路在实际问题中的应用。 4.初步了解三种门电路的逻辑关系和数字信号和数字电路的含义。 【要点梳理】 要点一、数字信号与模拟信号 1、数字信号 数字信号在变化中只有两个对立的状态:“有”或者“没有”。而模拟信号变化则是连续的。 如图所示分别为几种常见模拟信号和几种常见数字信号: 2、数字信号的处理 处理数字信号的电路叫做数字电路,数字电路主要研究电路的逻辑功能,数字电路中最基本的逻辑电路是门电路。 通常把高电势称为1,低电势称为0。 数字信号的0和1好比是事物的“是”与“非”,而处理数字信号的电路称数字电路,因此,数字电路就有了判别“是”与“非”的逻辑功能。 我们将数字电路中基本单元电路称为逻辑电路,而最基本的逻辑电路是门电路。

那么数字信号的处理模式就是: 数字电路→逻辑电路→门电路 知识点二─、简单的逻辑电路 1、“与”门的逻辑关系,真值表和电路符号 所谓门,就是一种开关,在一定条件下它允许信号通过,如果条件不满足,信号就被阻挡在“门”外。 (1)对“与”门的理解 如果一个事件和几个条件相联系,当这几个条件都满足后,该事件才能发生,这种关系叫“与”逻辑关系,具有这种逻辑关系的电路称为“与”门电路,简称“与”门。 如图所示,如果把开关A闭合作为条件A满足,把开关B闭合作为条件B满足,把电 灯L亮作为结果Y成立,则“与”逻辑关系可以示意为:A Y B ? ? ? ? 。 它们的逻辑关系如下表所示: (2)“与”门的真值表 如把开关接通定义为1,断开定义为0,灯泡亮为1,不亮为0,那么上表的情况可用下表的数学语言来描述,这种表格称为真值表。 “与”门的真值表

门电路及组合逻辑电路复习答案

第九章 门电路及组合逻辑电路 一、填空题 1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续) 2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续) 3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑) 4、最基本的三种逻辑运算是 、 、 。(与、或、非) 5、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演) 6、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可) 7、半导体二极管具有 性,可作为开关元件。(单向导电) 8、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 9、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 10、在逻辑门电路中,最基本的逻辑门是 、 和 。(与门、或门、非门) 11、与门电路和或门电路具有 个输入端和 个输出端。(多、一) 12、非门电路是 端输入、 端输出的电路。(单、单) 13、根据逻辑功能的不同特点,逻辑电路可分为两大类: 和 。(组合逻辑电路、时序逻辑电路) 14、组合逻辑电路主要是由 、 和 三种基本逻辑门电路构成的。(与门、或门、非门) 15、(1)2(10011011)(= 8)(= 16) 答:233、9B (2)16()(AE = 2)(= 8) 答:10101110、256 (3)()125(10= 2) (4)()375.13(10= 2) 答:(1)1111101(2)1101.011 二、判断题 1、十进制数74转换为8421BCD 码应当是BCD 8421)01110100(。 (√) 2、十进制转换为二进制的时候,整数部分和小数部分都要采用除2取余法。(╳) 3、若两个函数相等,则它们的真值表一定相同;反之,若两个函数的真值表完全相同,则这两个函数未必相等。(╳)

门电路和组合逻辑电路

第十六章 门电路和组合逻辑电路 一 选择题 1、下列逻辑表达式正确的是( )。 .0A A A += .11B A ?= .C A AB A B +=+ .D A AB AB += 2、时序逻辑电路中,以下说法正确的是( )。 A 、电路中任意时刻的输出只取决于当时的输入信号,与电路原来的 状态无关。 B 、电路中任意时刻的输出不仅与当时的输入信号有关,同时还取决于 电路原来的状态。 C 、电路中任意时刻的输出只取决于电路原来的状态,与当时的输入 信号无关。 D 、以上均不正确。 3、数据选择器的地址输入端有2个时,最多可以有( )个数据信号 输入。 A 、1 B 、2 C 、4 D 、8 4、数据选择器的地址输入端有3个时,最多可以有( )个数据信号输入。 A 、4 B 、6 C 、8 D 、16 5、组合逻辑电路中,以下说法正确的是( )。 A 、电路中任意时刻的输出只取决于当时的输入信号,与电路原来的状态无关。 B 、电路中任意时刻的输出不仅与当时的输入信号有关,同时还取决于电路原来的状态。 C 、电路中任意时刻的输出只取决于电路原来的状态,与当时的输入信号无关。 D 、以上均不正确。 6、下列几种TTL 电路中,输出端可实现线与功能的电路是( )。 A 、或非门 B 、与非门 C 、异或门 D 、OC 门 7、数据选择器有10个数据信号输入端时,至少得有( )个地址输入端。 A 、2 B 、3 C 、4 D 、5 8、以下哪个电路不是组合逻辑电路( )。 A 、编码器 B 、计数器 C 、译码器 D 、加法器

9、下列逻辑表达式正确的是( )。 .0A A A += .11B A ?= .C A AB A B +=+ .D A AB AB += 10、衡量集成逻辑电路优劣的因数是用它的:( ) A .增益×带宽; B .传输延迟时间×功耗; C .扇出系数×传输延迟时间; D .噪声容限×功耗。 11、以下诸论述中,唯一正确的是:( ) A .可以用OC 门构成电平变换电路; B .ECL 门电路主要用于集成度要求高的场合; C .CM0S 器件不可以和TTL 器件兼容; D .CMOS 器件的电源电压使用范围特别小,对电源的准确性要求严格. 12、集成门电路(不论是与、或、与非…等)的输入端若超过了需要,则这些多余的输入端应按哪种方式去处置才是正确的?( ) A .让它们开路; B .让它们通过电阻接最高电平(例如电源电压); C .让它们接地,或接电源的最低电平; D .让它们和使用中的输入端并接。 13、 以下表达式中符合逻辑运算法则的是( ) A.C ·C=C 2 B.1+1=10 C.0<1 D.A+1=1 14、 当逻辑函数有n 个变量时,共有( )个变量取值组合? A. n B. 2n C. n 2 D. 2n 15、. 逻辑函数的表示方法中具有唯一性的是( ) A .真值表 B.表达式 C.逻辑图 D.卡诺图 16、F=A B +BD+CDE+A D=( ) A.D B A + B.D B A )(+ C.))((D B D A ++ D.))((D B D A ++ 二 填空题 1.电子电路按功能可分为 电路和 电路。 2.根据电路的结构特点及其对输入信号响应规则的不同,数字电路可分为 和 。 3.数字电路的分析方法主要用 、功能表、 、波形图。 4.数字信号是一系列时间和数值都 的信号。 5.在数字电路中有两种数字逻辑状态分别是逻辑 和逻辑 。 6.逻辑函数F=)(B A A ⊕⊕ =

数电知识点总结(整理版)

数电复习知识点 第一章 1、了解任意进制数的一般表达式、2-8-10-16进制数之间的相互转换; 2、了解码制相关的基本概念和常用二进制编码(8421BCD、格雷码等); 第三章 1、掌握与、或、非逻辑运算和常用组合逻辑运算(与非、或非、与或非、异或、同或)及其逻辑符号; 2、掌握逻辑问题的描述、逻辑函数及其表达方式、真值表的建立; 3、掌握逻辑代数的基本定律、基本公式、基本规则(对偶、反演等); 4、掌握逻辑函数的常用化简法(代数法和卡诺图法); 5、掌握最小项的定义以及逻辑函数的最小项表达式;掌握无关项的表示方法和化简原则; 6、掌握逻辑表达式的转换方法(与或式、与非-与非式、与或非式的转换); 第四章 1、了解包括MOS在内的半导体元件的开关特性; 2、掌握TTL门电路和MOS门电路的逻辑关系的简单分析; 3、了解拉电流负载、灌电流负载的概念、噪声容限的概念; 4、掌握OD门、OC门及其逻辑符号、使用方法; 5、掌握三态门及其逻辑符号、使用方法; 6、掌握CMOS传输门及其逻辑符号、使用方法; 7、了解正逻辑与负逻辑的定义及其对应关系; 8、掌握TTL与CMOS门电路的输入特性(输入端接高阻、接低阻、悬空等); 第五章 1、掌握组合逻辑电路的分析与设计方法; 2、掌握产生竞争与冒险的原因、检查方法及常用消除方法; 3、掌握常用的组合逻辑集成器件(编码器、译码器、数据选择器); 4、掌握用集成译码器实现逻辑函数的方法; 5、掌握用2n选一数据选择器实现n或者n+1个变量的逻辑函数的方法; 第六章 1、掌握各种触发器(RS、D、JK、T、T’)的功能、特性方程及其常用表达方式(状态转换表、状态转换图、波形图等); 2、了解各种RS触发器的约束条件; 3、掌握异步清零端Rd和异步置位端Sd的用法; 2、了解不同功能触发器之间的相互转换; 第七章 1、了解时序逻辑电路的特点和分类; 2、掌握时序逻辑电路的描述方法(状态转移表、状态转移图、波形图、驱动方程、状态方程、输出方程); 3、掌握同步时序逻辑电路的分析与设计方法,掌握原始状态转移图的化简;

(完整版)第九章门电路及组合逻辑电路复习答案

第九章门电路及组合逻辑电路 一、填空题 1、 模拟信号的特点是在 ______ 和 ______ 上都是 __________ 变化的。(幅度、时间、连续) 2、 数字信号的特点是在 ______ 和 ______ 上都是 __________ 变化的。(幅度、时间、不连续) 3、 数字电路主要研究 ______ 与 ______ 信号之间的对应 ________ 关系。(输出、输入、逻辑) 4、 最基本的三种逻辑运算是 _______ 、 ________ 、 _________ 。(与、或、非) 5、 逻辑等式三个规则分别是 _______ 、 ________ 、 _________ 。(代入、对偶、反演) 6 逻辑函数常用的表示方法有 _________ 、 ________ 和 __________ o (真值表、表达式、卡诺图、逻 辑图、波形图五种方法任选三种即可) 7、 半导体二极管具有 ______ 性,可作为开关元件。(单向导电) 8、 半导体二极管 __________ 时,相当于短路; ______ 时,相当于开路。(导通、截止) 9、 半导体三极管作为开关元件时工作在 __________ 状态和 ___________ 状态。(饱和、截止) 10、 在逻辑门电路中,最基本的逻辑门是 _____ 、 ______ 和 ______ o (与门、或门、非门) 11、 与门电路和或门电路具有 _____ 个输入端和 _____ 个输出端。(多、一) 12、 非门电路是 ___ 端输入、 _______ 端输出的电路。(单、单) 13、 根据逻辑功能的不同特点,逻辑电路可分为两大类: _________ 和 ________ 。(组合逻辑电路、 、判断题 1、十进制数74转换为8421BC [码应当是(01110100) 8421 BCD 。 (V ) 2、 十进制转换为二进制的时候,整数部分和小数部分都要采用除 2取余法。(X ) 3、 若两个函数相等,贝尼们的真值表一定相同;反之,若两个函数的真值表完全相同,贝U 这两个 函数未必相等。(X ) 4、 证明两个函数是否相等,只要比较它们的真值表是否相同即可。 (V ) 时序逻辑电路) 14、组合逻辑电路主要是由 ____ 、 ____ 和 15、 (1) (10011011)2 ( )8 ( (2) (AE )16 ( ) 2 ( )8 (3) (125)10 ( ) 2 (4) (13.375)10 ( )2 答:(1) _三种基本逻辑门电路构成的。(与门、或门、非门) )16 答:233、9B 答:10101110 256 1111101 (2) 1101.011

《简单的逻辑电路》示范教案doc高中物理

《简单的逻辑电路》示范教案doc高中物理 教学目标 〔一〕知识与技能 1、明白数字电路和模拟电路的概念,了解数字电路的优点。 2、明白〝与〞门、〝或〞门、〝非〞门电路的特点、逻辑关系及表示法。 3、初步了解〝与〞门、〝或〞门、〝非〞门电路在实际咨询题中的应用 〔二〕过程与方法 突出学生自主探究、交流合作为主体的学习方式。 〔三〕情感、态度与价值观 1、感受数字技术对现代生活的庞大改变; 2、体验物理知识与实践的紧密联系; 教学重点 三种门电路的逻辑关系。 教学难点 数字信号和数字电路的意义。 教学方法 探究、讲授、讨论、练习 教学手段 声光控感应灯、投影仪、多媒体教学设备、三种门电路演示示教板、电压表等 教学过程 〔一〕引入新课 〔1〕演示:一盏奇异的灯 接通电源,灯不亮; 有声,灯不亮; 挡住光线,全场安静,灯不亮; 挡住光线,拍手,灯亮。 点评:通过演示声光控感应灯,引发学生好奇心理和探究欲望。 〔2〕教师简介: 周围的〝数字〞话题:数码产品、数字电视、DIS实验、家电等。 这些电器中都包含了〝智能〞化逻辑关系,今天我们就来学习简单的逻辑电路。 〔二〕进行新课 教师介绍: A、数字信号与模拟信号 〔1〕数字信号在变化中只有两个对立的状态:〝有〞,或者〝没有〞。而模拟信号变化那么是连续的。

〔2〕调剂收音机的音量,声音连续变化,声音信号是〝模拟〞量。 〔3〕图示数字信号和模拟信息: 点评:引导学生了解数字信号和模拟信号的不同特点。 B、数字电路逻辑电路门电路 数学信号的0和1好比是事物的〝是〞与〝非〞,而处理数字信号的电路称数字电路,因此,数字电路就有了判不〝是〞与〝非〞的逻辑功能。下面我们将学习数字电路中最差不多的逻辑电路---门电路。 1、〝与〞门 教师介绍:所谓〝门〞,确实是一种开关,在一定条件下它承诺信号通过,假如条件不满足,信号就被阻挡在〝门〞外。 教师:〔投影〕教材图2.10-2 引导学生分析开关A、B对电路的操纵作用。体会〝与〞逻辑关系。 摸索与讨论:谈谈生活中哪些事例表达了〝与〞逻辑关系。 教师指出:具有〝与〞逻辑关系的电路称为〝与〞门电路,简称〝与〞门。 符号:。

第20章习题2-门电路和组合逻辑电路

20章 组合电路 20-0XX 选择与填空题 20-1XX 画简题 20-2XX 画图题 20-3XX 分析题 20-XX 设计题 十二、[共8分]两个输入端的与门、 或门和与非门的输入波形如图 12 所示, 试画出其输出信号的波形。 解: 设与门的输出为F 1, 或门的输出为F 2,与非门的输出为F 3,根据逻辑关系其输出波形如图所示。 20-0XX 选择与填空题 20-001试说明能否将与非门、或非门、异或门当做反相器使用?如果可以,其他输入端应如何连接? 答案 与非门当反相器使用时,把多余输入端接高电平 或非门当反相器使用时,把多余输入端接低电平 A B F 1F 2F 3 (a) (b)

异或门当反相器使用时,把多余输入端接高电平 20-002、试比较TTL 电路和CMOS 电路的优、缺点。 答案 COMS 电路抗干扰能力强,速度快,静态损耗小,工作电压范围宽, 有取代TTL 门电路的趋势。 20-003简述二极管、三极管的开关条件。 答案 二极管:加正向电压导通,相当于开关闭合;反向电压截止,相当于 开关断开。三极管:U BE <0V 时,三极管可靠截止,相当于开关断开; i B 》I BS 时,三极管饱和,相当于开关闭合。 20-0004、同或运算关系,当两输入不相等时,其输出为1;异或运算关系,当两输入相等时,其输出为0; 20-0005、 若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为 _________,或非门的输出为___ ___,同或门的输出为__ __。 20-0006、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 20-0007、逻辑函数有四种表示方法,它们分别是( )、( )、( )和( )。 20-0008、将2004个“1”异或起来得到的结果是( )。 20-0009、是8421BCD 码的是( )。 A 、1010 B 、0101 C 、1100 D 、1101 2)、和逻辑式BC A A + 相等的是( )。 A 、ABC B 、1+B C C 、A D 、BC A + 3)、二输入端的或非门,其输入端为A 、B ,输出端为Y ,则其表达式 Y= ( )。 A 、A B B 、AB C 、B A + D 、A+B

数电练习_组合逻辑电路知识分享

数电练习2013_组合 逻辑电路

一、填空题 1.分析组合逻辑电路的步骤为:(1);(2); (3); (4)根据真值表和逻辑表达对逻辑电路进行分析,最后确定其功能。 2.在逻辑电路中,任意时刻的输出状态仅取决于该时刻输入信号的状态,而与信号作用前电路的状态无关,这种电路称为。因此,在电路结构上一般由组合而成。 3.十六进制数(F6.A)的等值八进制数是(),等值二进制数是 (),十进制数(56)的8421BCD编码是(),等值二进制数是()。 4. 实现两个一位二进制数相加,产生一位和值及一位进位值,但不考虑低位来的进位位的加法器称为________;将低位来的进位位与两个一位二进制数一起相加,产生一位和值及一位向高位进位的加法器称为________。 5.在下图所示的 卡诺图中,函数 F至少用个 与非门实现。设 输入原、反变量都提供。

6. 已知某组合电路的输入A、B、C、D及输出F的波形如图所示,则F对A、 B、C、D的最简与或表达式为F=。 参考答案: 1. (1)由逻辑图写出个输出端逻辑表达式;(2)化简和变换各逻辑表达式;(3)列出真值表 2. 组合逻辑电路门电路 3. 366.5 / 11110110.1010 / 01010110 / 111000 4. 半加器全加器 5. 3个 6.C B + C A+ D C 二、选择题 1.图(a)-(c)的三幅波形图中,正确表达了脉冲信号的宽度是() 2. 下列逻辑代数运算错误的是()

A. A 00=? B. A +1=A C. A A =?1 D. A +0=A 3.下列函数中等于A 的是( ) A. A +1 B. A A + C. AB A + D. A (A +B ) 4. 由开关组成的逻辑电路如图所示,设开关接通为“1”,断开为“0”,电灯亮为“1”,电灯L 暗为“0”,则该电路为( ) A. “与”门 B. “或”门 C. “非”门 D. 以上各项都不是 5.若把某一全加器的进位输出接至另一全加器的进位输入,则可构成( ) A. 二位并行进位的全加器 B. 二位串行进位的全加器 C. 一位串行进位的全加器 D. 以上各项都不是 6. 逻辑电路的真值表如下所示,由此可写出其逻辑函数表达式为( )。 A. C AB C B A C B A F ++= B. C B B A F += C. C B C B A F += D. AC B F += A B C F A B C F 0 1 1 1

11简单的逻辑电路练习

11简单的逻辑电路 知识点一逻辑电路的符号及真值表 1.(多选)在基本逻辑电路中,当所有输入均为0时,输出不是1的逻辑电路是() A.“与”门电路 B.“或”门电路 C.“非”门电路 D.以上三项都不可能 2.关于图L2-11-1中门电路的符号,下列说法中正确的是() 图L2-11-1 A.甲为“非”门、乙为“与”门、丙为“或”门 B.甲为“与”门、乙为“或”门、丙为“非”门 C.甲为“非”门、乙为“或”门、丙为“与”门 D.甲为“或”门、乙为“与”门、丙为“非”门 3.下表是某逻辑电路的真值表, 图L2-11-2 4.如图L2-11-3所示,甲、乙两图所表示的逻辑关系分别对应图丙和丁中的、. 图L2-11-3 知识点二逻辑电路的应用 5.为了保障行驶安全,一种新型双门电动公交车安装了如下控制装置:

只要有一扇门没有关紧,公交车就不能启动.如果规定:车门关紧时为“1”,未关紧时为“0”;当输出信号为“1”时,公交车可以正常启动行驶,当输出信号为“0”时,公交车不能启动.能正确表示该控制装置工作原理的逻辑门是() A.“与”门 B.“或”门 C.“非”门 D.“与非”门 6.(多选)两个人负责安装一个炸药包,然后启爆,两个人分别控制两个相串联的开关.只有当两个人都撤出危险区,在安全区把开关接通时,炸药包才能爆炸.如果有一个人未撤出危险区,开关没有接通,炸药包就不能启爆,这就是“与”的概念.如果用“0”表示不启爆,“1”表示启爆,用符号“×”表示“与”的运算符号,则下列运算式正确的是() A.0×0=0,表示二人都不启爆,炸药包不爆炸 B.0×1=1,表示一人不启爆,另一人启爆,炸药包爆炸 C.1×0=1,表示一人启爆,另一人不启爆,炸药包爆炸 D.1×1=1,表示二人都启爆,炸药包爆炸 7.两只晶体二极管组成如图L2-11-4所示电路,A、B为输入端,Y为输出端,当A或B接高电势时,Y端电势为正,那么该电路是门电路. 图L2-11-4 8.如图L2-11-5所示的由基本门电路组成的电路中,能使蜂鸣器发出声音的是() 图L2-11-5 9.(多选)“或”门电路的逻辑关系可表示为A+B=Z,则下列结果正确的是() A.0+0=1 B.0+1=1 C.1+0=1 D.1+1=0 10.在集成电路中,经常用若干基本门电路组成复合门电路.图L2-11-6为两个基本门电路组合的逻辑电路,根据真值表,对虚线框内门电路类型及真值表内x值的判断正确的是 () 图L2-11-6

简单的逻辑电路--获奖优质精品教案 (4)

2.11简单的逻辑电路教案3 一、教学目标 1.知识与技能 (1)知道三种门电路的逻辑关系、符号及真值表; (2)会用真值表表示一些简单的逻辑关系; (3)会分析、设计一些简单的逻辑电路。 2.过程与方法 (1)通过实例与实验,理解“与”、“或”、“非”逻辑电路中结果与条件的逻辑关系; (2)通过简单的逻辑电路设计,体会逻辑电路在生活中的意义。 3.情感态度与价值观 (1)感受数字技术对现代生活的巨大改变,关注我国集成电路以及元器件研究的发展情况; (2)体验物理知识与实践的紧密联系; (3)学生在自主探究、交流合作中获得知识,体会学习的快乐。 二、教学重、难点 重点:三种门电路的真值表及符号。 难点:数字电路的意义。 三、教学工具:声控灯、三种门电路演示板 四、教学过程 【课时1】 (一)导入新课 演示:声控灯。 ①接通电源,灯不亮。 ②接通电源,拍手,灯不亮。 ③接通电源,遮光,灯不亮。 ④接通电源,遮光,拍手,灯亮。 师:像这样,现在很多电器中都包含了“智能”化逻辑关系,请同学们举例。

生:自动擦鞋机、干手机。 实现这些逻辑功能离不开?数字信号。 师介绍: ①数字信号:只有两个对立的状态,高电平“1”,低电平“0”。 ②模拟信号:连续变化的电压信号。 数字信号的“0”和“1”好比事件的“是”与“非”,而处理数字信号的电路——数字电路,就有了辨别“是”、“非”的逻辑功能。 这节课我们学习数字电路中最基本的逻辑电路——??门电路。 (二)进行新课 1.“与”门 师:门是一种条件开关,只有当输入信号满足一定条件时,门才能被打开,才有输出信号。 (1)投影: 引导学生分析开关A、B对电路的控制作用,体会“与”逻辑关系。 (当两个条件都满足时,结果才会成立) (2)思考与讨论,让学生体会生活中的“与”逻辑关系。 师:具有“与”逻辑关系的电路称为“与”门电路,简称“与”门。 (3)引导学生把图2.10-2结果与条件的关系用表格表示。

2020年技能高考电气类《数字电路基础知识》试题含答案

2020年技能高考电气类《数字电路基础知识》试题含答案武船,技能高考,电气类,题库,含答案,中职,试卷,章节 《数字电路基础知识》试题 时间:60分钟总分:分班级:班命题人: 一、判断题 1. 与模拟信号相比 , 数字信号的特点是不连续的,间断的。 (正确) 2. 在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。 (正确) 3. 数字电路是以二值数字逻辑为基础的,其工作信号是离散的数字信号,电路中的电子晶体管工作于放大状态。 (错误) 4. 逻辑函数是数字电路的特点及描述工具,输入、输出量是高、低电平,可以用二元常量 (0, 1) 来表示,输入量和输出量之间的关系是一种逻辑上的因果关系。 (正确) 5. 数字电路主要研究对象是电路的输出与输入之间的逻辑关系,数字电路和模拟电路采用的分析方法一样。(错误) 6. 以二进制作为基础的数字逻辑电路,可靠性较强。 电源电压的小的波动对其没有影响, 温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 (正确) 7. 由于数字电路中的器件主要工作在开关状态,因而采用的分析工具主要是逻辑代数, 用功能表、真值表、逻辑表达式、波形图等来表达电路的主要功能。 (正确)

8. 数字电路的研究方法是逻辑分析和逻辑设计,所需要的工具是普通代数。 (错误) 9. 数字电路稳定性好,不像模拟电路那样易受噪声的干扰。 (正确) 10. 在数字电路中,稳态时三极管一般工作在截止或放大状态。 (错误) 11. TTL门电路输入端悬空时,应视为输入高电平。 (正确) 12. 二进制数的进位关系是逢二进一,所以逻辑电路中有 1 1=10。 (正确) 13. 在逻辑变量的取值中,只有“1”与“ 0”两种状态。 (正确) 14. 在逻辑变量的取值中,无法比较1与 0的大小。 (正确) 15. 数字电路中输出只有两种状态:高电平 1和低电平 0。 (正确) 16. 在逻辑代数中,因为 A AB=A,所以 AB=0。 (错误) 将 2018个“ 1”与非得到的结果是 1。 (错误) 18. 在数字电路中,二输入“与”逻辑关系的逻辑函数表达式为 Y=A·B 。 (正确) 19. 在数字电路中,二输入“或”逻辑关系的逻辑函数表达式为 Y=A-B。 (错误) 20. 与非门逻辑功能为:输入只要有低电平,输出就为高电平。 (正确) 21. 与门逻辑功能为:输入都是低电平,输出才为高电平。 (错误) 22. 在基本逻辑运算中,与、或、非三种运算是最本质的,其他逻辑运算是其中两种或三种的组合。 (正确) 23. 在逻辑代数中, A AB=A B成立。 (错误)

最新人教版高中物理选修3-1第二章《简单的逻辑电路》知识导学

10 简单的逻辑电路 问题探究 在我们生活的居民楼里都安装了一种控制灯泡,晚上走在黑暗的楼梯上,只要轻轻拍拍手,灯泡就立刻亮起来,在白天,无论用多大的力量拍手,灯泡也不会亮.这是什么原因呢? 目前,电子计算机以及与电子计算机密切相关的自动控制技术已经深入到了人类生活、生产的方方面面.在工厂中一些危险、繁重的环节,电子计算机控制的机器人已经取代人从事生产;在商场,电子计算机在商品的进货、管理、销售等方面发挥着越来越重要的作用;在家里,数字化的家用电器使人们的生活更加便捷,更加丰富多彩,是一些什么样的电路使电子计算机如此“神通广大”呢? 自学导引 1.数字电路:处理___________的电路叫做数字电路,它主要研究电路的___________. 答案:数字信号逻辑功能 2.门电路:数字电路中最___________的逻辑电路叫门电路. 答案:基本 3.“与”门:如果一个事件和几个条件相联系,当这几个条件都满足后,该事件才能发生,这种关系叫做____________.具有这种逻辑关系的电路称为____________,简称___________.其符号和真值表如下: 4.“或”门:如果这几个条件中,只要有一个条件得到满足,某事件就会发生,这种关系叫做_________.具有这种逻辑关系的电路叫做_________,简称_________.其符号和真值表如下: 答案:“或”逻辑关系“或”门电路“或”门 5.“非”门:如果条件满足时事件不能发生,而条件不满足时事件却能发生,即输出状态和输入状态呈相反的逻辑关系,叫做__________关系.具有这种逻辑关系的电路叫__________.

简单逻辑电路在生活中的应用[1]

简单逻辑电路在生活中的应用 电路给我们的感觉总是枯燥无味的,让人感觉高深莫测的一门学科。然而,正是这们学科在不断的改造我们的生活,我们也无时无刻不再感叹他的奇妙,有时我们在不知不觉中也感受到他的乐趣。这便是简单逻辑电路在生活中的应用。在我们生活中简单防盗报警器,电热水器等许许多多的应用让我们不断在其中体会到了科学与生活的紧密关联和乐趣。 如今,以数字信号为特征的现代信息技术在迅猛发展,电子计算机、数码相机、移动电话数字电视等与数字信号相关的电子产品已经深入到了人类生活、生产的方方面面。在家里数字化的家用电器使人们的生活更加便捷,生活更加丰富多彩,在工厂里一些危险、繁重的环节,电子计算机控制的机器人已经取代人从事生产。那么,是一些什么样的电路如此“神通广大”呢?——逻辑电路。处理数字信号的电路叫数字电路,数字电路主要研究电路的逻辑功能。 下面我们简单介绍几种简单又有趣的电路在生活中的应用。 1.简单防盗报警器 在工厂、银行等单位都会安装防盗报警器,以防 在财产被盗时即时报警。如图是用一个逻辑电路和按 钮开关、光敏电阻、蜂鸣器等元件组成的一个简单防 盗报警器的电路图。该报警器的功能是:当放在保险 箱前地板上的按钮开关S 被脚踩下而闭合,A点为高 电压,用“1”表示,同时安装在保险箱里的光敏电阻R0被手电筒照射时,光敏电阻的阻值减小,两端的分压减小,则B点为高电压,也表现为“1”,当A、B都为高电压时,“与”门的输出端Y为高电压,蜂鸣器就会发出鸣叫声。如果只是光照并不能使报警器发出声音,所以用钥匙开箱时,即使有光也不会报警。只有强行打开时,报警器同时满足两个条件便发生报警。 2.电热水器 许多家庭都安装了电热水器,它给人们的生活带来了 方便。如图所示是电热水器系统的恒温器集成电路。R1 为调温电阻,R2为热敏电阻。“与”门电路的两个输入端 分别接上一个水位传感器和一个水温传感器。当水箱充满 水时,B点为高电压(即输入为逻辑1),R2为热敏电阻,

第20章习题1-门电路和组合逻辑电路

第20章习题门电路和组合逻辑电路 S10101B 为实现图逻辑表达式的功能,请将TTL 电路多余输入端C进行处理(只需一种处理方法),Y1的C端应接,Y2的C端应接, 解:接地、悬空 S10203G 在F = AB+CD的真值表中,F =1的状态有( )。 A. 2个 B. 4个 C. 3个 D. 7个 解:D S10203N 某与非门有A、B、C三个输入变量,当B=1时,其输出为( )。 A. 0 B. 1 C. AC D. AC 解:C S10204B 在数字电路中,晶体管的工作状态为( )。 A. 饱和 B. 放大 C. 饱和或放大 D. 饱和或截止 解:D S10204I 逻辑电路如图所示,其逻辑函数式为( )。 A. B A B A+ B. AB B A+ C. B A B A+ D. A AB+ 解:C S10204N 已知F=AB+CD,选出下列可以肯定使F = 0的情况( )。 A. A = 0,BC = 1 B. B = C = 1 C. C = 1,D = 0 D. AB = 0,CD = 0 解:D S10110B 三态门电路的三种可能的输出状态是,,。 解:逻辑1、逻辑0、高阻态 1& B1& ≥1

S10214B 逻辑图和输入A ,B 的波形如图所示,分析当输出F 为“1”的时刻应是( )。 A. t 1 B. t 2 C. t 3 解:A S10211I 图示逻辑电路的逻辑式为( )。 A. F =A B AB + B. B A AB F = C. F =()A B AB + 解:B S10212I 逻辑电路如图所示,其功能相当于一个( )。 A. 门 B. 与非门 C. 异或门 解:C S10216B 图示逻辑电路的逻辑式为( )。 A. F =A B +A B B. F =AB AB + C. F =AB +A B 解:C S10217B 逻辑图如图(a )所示,输入A 、B 的波形如图(b ),试分析在t 1瞬间输出F 为( )。 A. “1” B. “0” C. 不定 解:B S10218B 图示逻辑符号的逻辑状态表为( )。 A. B. C. 解:B A B F A B F A B F 0 0 0 0 0 0 0 0 1 0 1 0 0 1 1 0 1 1 1 0 0 1 0 1 1 0 1 1 1 1 1 1 1 1 1

相关文档
最新文档