FPGA可编程逻辑器件芯片XC7A200T-1FBG676I中文规格书

合集下载

FPGA可编程逻辑器件芯片XC7Z035-L2FBG676I中文规格书

FPGA可编程逻辑器件芯片XC7Z035-L2FBG676I中文规格书

Chapter2 Zynq-7000SoC Package FilesAbout ASCII Package FilesThe ASCII files for each package include a comma-separated-values (CSV) version and a text version optimized for a browser or text editor. Each of the files consists of the following:•Device/Package name (Device—Package), date and time of creation•Eight columns containing data for each pin:°Pin—Pin location on the package.°Pin Name—The name of the assigned pin.°Memory Byte Group—Memory byte group between 0 and 3. For more information on the memory byte group, see the 7Series FPGAs Memory Interface Solutions UserGuide (UG586) [Ref7].°Bank—Bank number.°V CCAUX Group—Number corresponding to the V CCAUX_IO power supply for the given pin. V CCAUX is shown for packages with only one V CCAUX group.°Super Logic Region—Number corresponding to the super logic region (SLR) in the devices implemented with stacked silicon interconnect (SSI) technology.°I/O Type—CONFIG, HR, HP, MIO, DDR, or GTP/GTX depending on the I/O type. For more information on the I/O type, see the 7Series FPGAs SelectIO Resources UserGuide (UG471) [Ref8].°No-Connect—This list of devices is used for migration between devices that have the same package size and are not connected at that specific pin.•Total number of pins in the package.Pin Compatibility Between PackagesZynq-7000SoC devices are pin compatible only with other Zynq-7000SoC devices in the same package. In addition, FB/FBG/FBV and FF/FFG/FFV packages of the same pin-count designator are pin compatible. Table1-6 shows the pin compatible devices available for each Zynq-7000SoC device package. Pins that are available in one device but are notavailable in another device with a compatible package include the other device's name in the No Connect column of the package file. These pins are labeled as No Connects in the other device's package file.Some FB/FBG/FBV packages include V CCAUX_IO pins, but they are not utilized by the I/O.These pins are placeholders to ensure pin compatibility with FF/FFG/FFV packages. In the FF/FFG/FFV packages, if the high-performance option is chosen for the HP I/O, theV CCAUX_IO pins must be connected to a power supply separate from V CCAUX. Therefore, if there are plans to migrate to FF/FFG/FFV packages, V CCAUX_IO must be connected to the appropriate voltage regulator.Table 1-6:Pin CompatibilityPackage Pin Compatible DevicesCL225/CLG2257Z007S7Z010CL400/CLG4007Z007S7Z0107Z014S7Z020CL484/CLG4847Z014S7Z020SB/SBG/SBV485 or7Z012S7Z0157Z030CL/CLG485FB/FBG/FBV484 or RB4847Z030FB/FBG/FBV676 or7Z0307Z0357Z045FF/FFG/FFV676 or RF/RFG676FF/FFG/FFV900 or RF9007Z0357Z0457Z100FF/FFG/FFV1156 or RF11567Z100Notes:1.Pin compatible packages as well as the FB/FBG/FBV and FF/FFG/FFV packages have substantially different decouplingcapacitor recommendations. Refer to the Zynq-7000 SoC PCB Design Guide (UG933) [Ref2].Chapter 2:Zynq-7000SoC Package FilesDevice DiagramsChapter3SummaryThis chapter provides pinout, high-performance and high-range I/O bank, memorygroupings, and power and ground placement diagrams for each Zynq-7000SoCpackage/device combination.The figures provide a top-view perspective.The symbols for the multi-function I/O pins are represented by only one of the available pin functions; with precedence (by functionality) in this order:•PUDC_B•AD0P/AD0N–AD15P/AD15N•VRN, VRP, or VREF•DQS, MRCC, or SRCC。

FPGA可编程逻辑器件芯片XC7V2000T-1FH1761I中文规格书

FPGA可编程逻辑器件芯片XC7V2000T-1FH1761I中文规格书

Zynq-7000 SoC (Z-7030, Z-7035, Z-7045, and Z-7100): DC and AC Switching CharacteristicsDS191 (v1.18.1) July 2, 2018Product Specification AC Switching CharacteristicsAll values represented in this data sheet are based on the speed specifications in the ISE® Design Suite 14.7 and Vivado®Design Suite 2015.4 as outlined in Table 16.Switching characteristics are specified on a per-speed-grade basis and can be designated as Advance, Preliminary, or Production. Each designation is defined as follows:Advance Product SpecificationThese specifications are based on simulations only and are typically available soon after device design specifications are frozen. Although speed grades with this designation are considered relatively stable and conservative, some under-reporting might still occur.Preliminary Product SpecificationThese specifications are based on complete ES (engineering sample) silicon characterization. Devices and speed grades with this designation are intended to give a better indication of the expected performance of production silicon. The probability of under-reporting delays is greatly reduced as compared to Advance data.Production Product SpecificationThese specifications are released once enough production silicon of a particular device family member has beencharacterized to provide full correlation between specifications and devices over numerous production lots. There is no under-reporting of delays, and customers receive formal notification of any subsequent changes. Typically, the slowest speed grades transition to Production before faster speed grades.Testing of AC Switching CharacteristicsInternal timing parameters are derived from measuring internal test patterns. All AC switching characteristics are representative of worst-case supply voltage and junction temperature conditions.For more specific, more precise, and worst-case guaranteed data, use the values reported by the static timing analyzer and back-annotate to the simulation net list. Unless otherwise noted, values apply to all Zynq-7000 devices.Speed Grade DesignationsSince individual family members are produced at different times, the migration from one category to another dependscompletely on the status of the fabrication process for each device. Table 17 correlates the current status of each Zynq-7000 device on a per speed grade basis.Table 16:Zynq-7000SoC Speed Specification Version By Device ISE 14.7Vivado 2015.4Device 1.081.11XC7Z030 and XC7Z045N/A1.11XC7Z035 and XC7Z100N/A 1.09XA7Z0301.061.10XQ7Z030 and XQ7Z045N/A 1.10XQ7Z100Table 17:Zynq-7000 Device Speed Grade DesignationsDeviceSpeed Grade Designations Advance Preliminary Production XC7Z030-3, -2, -2LI, -1XC7Z035-3, -2, -2LI, -1XC7Z045-3, -2, -2LI, -1XC7Z100-2, -2LI, -1XA7Z030-1I, -1QZynq-7000 SoC (Z-7030, Z-7035, Z-7045, and Z-7100): DC and AC Switching CharacteristicsDS191 (v1.18.1) July 2, 2018Product SpecificationProduction Silicon and Software StatusIn some cases, a particular family member (and speed grade) is released to production before a speed specification is released with the correct label (Advance, Preliminary, Production). Any labeling discrepancies are corrected in subsequent speed specification releases.Table 18 lists the production released Zynq-7000 device, speed grade, and the minimum corresponding supported speed specification version and software revisions. The software and speed specifications listed are the minimum releases required for production. All subsequent releases of software and speed specifications are valid.Selecting the Correct Speed Grade and Voltage in the Vivado ToolsIt is important to select the correct device speed grade and voltage in the Vivado tools for the device that you are selecting.To select the -3, -2, or -1 (PL 1.0V) speed specifications in the Vivado tools, select the Zynq-7000, XA Zynq-7000, or Defense Grade Zynq-7000 sub-family, and then select the part name that is the device name followed by the package name followed by the speed grade. For example, select the xc7z030fbg676-3 part name for the XC7Z030 device in the FBG676 package and -3 speed grade.To select the -2LI (PL 0.95V) speed specifications in the Vivado tools, select the Zynq-7000 sub-family and then select the part name that is the device name followed by an i followed by the package name followed by the speed grade. For example, select the xc7z030ifbg676-2L part name for the XC7Z030 device in the FBG676 package and -2LI (PL 0.95V) speed grade. The -2LI (PL 0.95V) speed specifications are not supported in the ISE tools.A similar part naming convention applies to the speed specifications selection in the ISE tools for supported devices. See Table 18 for the subset of Zynq-7000 devices supported in the ISE tools.XQ7Z030-2I, -2LI, -1I, -1Q XQ7Z045-2I, -2LI, -1I, -1Q, -1LQ XQ7Z100-2I, -2LI, -1ITable 18:Zynq-7000 Device Production Software and Speed Specification Release DeviceSpeed Grade Designations -3E -2E -2I -2LI -1C -1I -1Q -1LQ XC7Z030ISE tools 14.5 v1.06 and Vivado tools 2013.1 v1.06Vivado tools 2014.4 v1.11ISE tools 14.5 v1.06 and Vivado tools 2013.1 v1.06N/A N/A XC7Z035Vivado tools 2014.4 v1.11N/A N/A XC7Z045ISE tools 14.5 v1.06 and Vivado tools 2013.1 v1.06Vivado tools 2014.4 v1.11ISE tools 14.5 v1.06 and Vivado tools 2013.1 v1.06N/A N/A XC7Z100N/A N/A Vivado tools 2013.2 v1.07Vivado tools 2014.4 v1.11N/A Vivado tools 2013.2 v1.07N/A N/A XA7Z030N/A N/A N/A N/A N/A Vivado tools 2014.2 v1.08N/A XQ7Z030N/A N/A ISE tools 14.7 v1.06 and Vivado tools 2013.3 v1.06Vivado tools 2015.4 v1.10N/A ISE tools 14.7 v1.06 and Vivado tools 2013.3 v1.06N/A XQ7Z045N/A N/A N/A Vivado tools 2015.2 v1.09XQ7Z100N/A N/A Vivado tools 2015.4 v1.10N/A Vivado tools2015.2 v1.09N/AN/A Table 17:Zynq-7000 Device Speed Grade Designations (Cont’d)DeviceSpeed Grade Designations Advance Preliminary Production。

FPGA可编程逻辑器件芯片XC7K160T-1FFG676I中文规格书

FPGA可编程逻辑器件芯片XC7K160T-1FFG676I中文规格书

General DescriptionXilinx® 7series FPGAs comprise four FPGA families that address the complete range of system requirements, ranging from low cost, small form factor, cost-sensitive, high-volume applications to ultra high-end connectivity bandwidth, logic capacity, and signal processing capability for the most demanding high-performance applications. The 7series FPGAs include:•Spartan®-7 Family: Optimized for low cost, lowest power, and high I/O performance. Available in low-cost, very small form-factorpackaging for smallest PCB footprint.•Artix®-7 Family: Optimized for low power applications requiring serial transceivers and high DSP and logic throughput. Provides the lowest total bill of materials cost for high-throughput, cost-sensitiveapplications.•Kintex®-7 Family: Optimized for best price-performance with a 2X improvement compared to previous generation, enabling a new class of FPGAs.•Virtex®-7 Family: Optimized for highest system performance and capacity with a 2X improvement in system performance. Highestcapability devices enabled by stacked silicon interconnect (SSI)technology.Built on a state-of-the-art, high-performance, low-power (HPL), 28nm, high-k metal gate (HKMG) process technology, 7series FPGAs enable an unparalleled increase in system performance with 2.9Tb/s of I/O bandwidth, 2 million logic cell capacity, and 5.3TMAC/s DSP, while consuming 50% less power than previous generation devices to offer a fully programmable alternative to ASSPs and ASICs.Summary of 7Series FPGA Features•Advanced high-performance FPGA logic based on real 6-input look-up table (LUT) technology configurable as distributed memory.•36Kb dual-port block RAM with built-in FIFO logic for on-chip data buffering.•High-performance SelectIO™ technology with support for DDR3 interfaces up to 1,866 Mb/s.•High-speed serial connectivity with built-in multi-gigabit transceivers from 600Mb/s to max. rates of 6.6Gb/s up to 28.05Gb/s, offering a special low-power mode, optimized for chip-to-chip interfaces.• A user configurable analog interface (XADC), incorporating dual 12-bit 1MSPS analog-to-digital converters with on-chip thermal and supply sensors.•DSP slices with 25x18 multiplier, 48-bit accumulator, and pre-adder for high-performance filtering, including optimized symmetriccoefficient filtering.•Powerful clock management tiles (CMT), combining phase-locked loop (PLL) and mixed-mode clock manager (MMCM) blocks for high precision and low jitter.•Quickly deploy embedded processing with MicroBlaze™ processor.•Integrated block for PCI Express® (PCIe), for up to x8 Gen3 Endpoint and Root Port designs.•Wide variety of configuration options, including support for commodity memories, 256-bit AES encryption with HMAC/SHA-256 authentication, and built-in SEU detection and correction.•Low-cost, wire-bond, bare-die flip-chip, and high signal integrity flip-chip packaging offering easy migration between family members in the same package. All packages available in Pb-free and selected packages in Pb option.•Designed for high performance and lowest power with 28nm, HKMG, HPL process, 1.0V core voltage process technology and0.9V core voltage option for even lower power.7Series FPGAs Data Sheet: Overview DS180 (v2.6) February 27, 2018Product SpecificationTable 1:7Series Families ComparisonMax. Capability Spartan-7Artix-7Kintex-7Virtex-7Logic Cells102K215K478K1,955KBlock RAM(1) 4.2Mb13Mb34Mb68MbDSP Slices 1607401,9203,600DSP Performance(2)176 GMAC/s929GMAC/s2,845GMAC/s5,335GMAC/s MicroBlaze CPU(3)260 DMIPs303 DMIPs438 DMIPs441 DMIPs Transceivers–163296Transceiver Speed– 6.6Gb/s12.5Gb/s28.05Gb/sSerial Bandwidth–211Gb/s800Gb/s2,784Gb/sPCIe Interface–x4 Gen2x8 Gen2x8 Gen3Memory Interface800Mb/s1,066Mb/s1,866Mb/s1,866Mb/sI/O Pins400500500 1,200I/O Voltage 1.2V–3.3V 1.2V–3.3V 1.2V–3.3V 1.2V–3.3VPackage Options Low-Cost, Wire-Bond Low-Cost, Wire-Bond,Bare-Die Flip-Chip Bare-Die Flip-Chip and High-Performance Flip-ChipHighest PerformanceFlip-ChipNotes:1.Additional memory available in the form of distributed RAM.2.Peak DSP performance numbers are based on symmetrical filter implementation.3.Peak MicroBlaze CPU performance numbers based on microcontroller preset.Mixed-Mode Clock Manager and Phase-Locked LoopThe MMCM and PLL share many characteristics. Both can serve as a frequency synthesizer for a wide range of frequencies and as a jitter filter for incoming clocks. At the center of both components is a voltage-controlled oscillator (VCO), which speeds up and slows down depending on the input voltage it receives from the phase frequency detector (PFD).There are three sets of programmable frequency dividers: D, M, and O. The pre-divider D (programmable by configuration and afterwards via DRP) reduces the input frequency and feeds one input of the traditional PLL phase/frequency comparator. The feedback divider M (programmable by configuration and afterwards via DRP) acts as a multiplier because it divides the VCO output frequency before feeding the other input of the phase comparator. D and M must be chosen appropriately to keep the VCO within its specified frequency range. The VCO has eight equally-spaced output phases (0°, 45°, 90°, 135°, 180°, 225°, 270°, and 315°). Each can be selected to drive one of the output dividers (six for the PLL, O0 to O5, and seven for the MMCM, O0 to O6), each programmable by configuration to divide by any integer from 1 to 128. The MMCM and PLL have three input-jitter filter options: low bandwidth, high bandwidth, or optimized mode. Low-bandwidth mode has the best jitter attenuation but not the smallest phase offset. High-bandwidth mode has the best phase offset, but not the best jitter attenuation. Optimized mode allows the tools to find the best setting.MMCM Additional Programmable FeaturesThe MMCM can have a fractional counter in either the feedback path (acting as a multiplier) or in one output path. Fractional counters allow non-integer increments of 1/8 and can thus increase frequency synthesis capabilities by a factor of 8.The MMCM can also provide fixed or dynamic phase shift in small increments that depend on the VCO frequency. At 1600MHz, the phase-shift timing increment is 11.2ps.Clock DistributionEach 7series FPGA provides six different types of clock lines (BUFG, BUFR, BUFIO, BUFH, BUFMR, and the high-performance clock) to address the different clocking requirements of high fanout, short propagation delay, and extremely low skew.Global Clock LinesIn each 7series FPGA (except XC7S6 and XC7S15), 32 global clock lines have the highest fanout and can reach every flip-flop clock, clock enable, and set/reset, as well as many logic inputs. There are 12 global clock lines within any clock region driven by the horizontal clock buffers (BUFH). Each BUFH can be independently enabled/disabled, allowing for clocks to be turned off within a region, thereby offering fine-grain control over which clock regions consume power. Global clock lines can be driven by global clock buffers, which can also perform glitchless clock multiplexing and clock enable functions. Global clocks are often driven from the CMT, which can completely eliminate the basic clock distribution delay.Regional ClocksRegional clocks can drive all clock destinations in their region. A region is defined as an area that is 50 I/O and 50 CLB high and half the chip wide. 7series FPGAs have between two and twenty-four regions. There are four regional clock tracks in every region. Each regional clock buffer can be driven from any of four clock-capable input pins, and its frequency can optionally be divided by any integer from 1 to 8.I/O ClocksI/O clocks are especially fast and serve only I/O logic and serializer/deserializer (SerDes) circuits, as described in theI/O Logic section. The 7series devices have a direct connection from the MMCM to the I/O for low-jitter, high-performance interfaces.Block RAMSome of the key features of the block RAM include:•Dual-port 36Kb block RAM with port widths of up to 72•Programmable FIFO logic•Built-in optional error correction circuitryEvery 7series FPGA has between 5 and 1,880 dual-port block RAMs, each storing 36Kb. Each block RAM has two completely independent ports that share nothing but the stored data.Synchronous OperationEach memory access, read or write, is controlled by the clock. All inputs, data, address, clock enables, and write enables are registered. Nothing happens without a clock. The input address is always clocked, retaining data until the next operation. An optional output data pipeline register allows higher clock rates at the cost of an extra cycle of latency.During a write operation, the data output can reflect either the previously stored data, the newly written data, or can remain unchanged.Programmable Data WidthEach port can be configured as 32K×1, 16K×2, 8K×4, 4K×9 (or8), 2K×18 (or16), 1K×36 (or32), or 512×72 (or64). The two ports can have different aspect ratios without any constraints.Each block RAM can be divided into two completely independent 18Kb block RAMs that can each be configured to any aspect ratio from 16K×1 to 512×36. Everything described previously for the full 36Kb block RAM also applies to each of the smaller 18Kb block RAMs.Only in simple dual-port (SDP) mode can data widths of greater than 18bits (18Kb RAM) or 36bits (36Kb RAM) be accessed. In this mode, one port is dedicated to read operation, the other to write operation. In SDP mode, one side (read or write) can be variable, while the other is fixed to 32/36 or 64/72.Both sides of the dual-port 36Kb RAM can be of variable width.Two adjacent 36Kb block RAMs can be configured as one cascaded 64K×1 dual-port RAM without any additional logic. Error Detection and CorrectionEach 64-bit-wide block RAM can generate, store, and utilize eight additional Hamming code bits and perform single-bit error correction and double-bit error detection (ECC) during the read process. The ECC logic can also be used when writing to or reading from external 64- to 72-bit-wide memories.FIFO ControllerThe built-in FIFO controller for single-clock (synchronous) or dual-clock (asynchronous or multirate) operation increments the internal addresses and provides four handshaking flags: full, empty, almost full, and almost empty. The almost full and almost empty flags are freely programmable. Similar to the block RAM, the FIFO width and depth are programmable, but the write and read ports always have identical width.First word fall-through mode presents the first-written word on the data output even before the first read operation. After the first word has been read, there is no difference between this mode and the standard mode.7Series FPGA Ordering InformationTable12 shows the speed and temperature grades available in the different device families. Some devices might not be available in every speed and temperature grade.Table 12:7 Series Speed Grade and Temperature RangesDevice Family DevicesSpeed Grade, Temperature Range, and Operating VoltageCommercial (C)0°C to +85°CExtended (E)0°C to +100°CIndustrial (I)–40°C to +100°CExpanded (Q)–40°C to +125°CSpartan-7All -2C (1.0V)-2I (1.0V)-1C (1.0V)-1I (1.0V)-1Q (1.0V)-1LI (0.95V)Artix-7All-3E (1.0V)-2C (1.0V)-2I (1.0V)-2LE (1.0V or 0.9V)-1C (1.0V)-1I (1.0V)-1LI (0.95V)Kintex-7XC7K70T-3E (1.0V)-2C (1.0V)-2I (1.0V)-2LE (1.0V or 0.9V)-1C (1.0V)-1I (1.0V) XC7K160TXC7K325TXC7K355TXC7K410TXC7K420TXC7K480T-3E (1.0V)-2C (1.0V)-2I (1.0V)-2LE (1.0V or 0.9V)-2LI (0.95V)-1C (1.0V)-1I (1.0V)Virtex-7 TXC7V585T-3E (1.0V)-2C (1.0V)-2I (1.0V)-2LE (1.0V)-1C (1.0V)-1I (1.0V) XC7V2000T-2C (1.0V)-2GE (1.0V)-2LE (1.0V)-1C (1.0V)-1I (1.0V)Virtex-7 XTXC7VX330TXC7VX415TXC7VX485TXC7VX550TXC7VX690T-3E (1.0V)-2C (1.0V)-2I (1.0V)-2LE (1.0V)-1C (1.0V)-1I (1.0V) XC7VX980T-2C (1.0V)-2LE (1.0V)-1C (1.0V)-1I (1.0V) XC7VX1140T-2C (1.0V)-2GE (1.0V)-2LE (1.0V)-1C (1.0V)-1I (1.0V)Virtex-7 HT All -2C (1.0V)-2GE (1.0V)-2LE (1.0V) -1C (1.0V)。

FPGA可编程逻辑器件芯片XC7Z035-1FFG676I中文规格书

FPGA可编程逻辑器件芯片XC7Z035-1FFG676I中文规格书

Zynq-7000 SoC First Generation ArchitectureThe Zynq®-7000 family is based on the Xilinx SoC architecture. These products integrate a feature-rich dual-core or single-core ARM® Cortex™-A9 based processing system (PS) and 28nm Xilinx programmable logic (PL) in a single device. The ARM Cortex-A9 CPUs are the heart of the PS and also include on-chip memory, external memory interfaces, and a rich set of peripheral connectivity interfaces. Processing System (PS)ARM Cortex-A9 BasedApplication Processor Unit (APU)• 2.5 DMIPS/MHz per CPU•CPU frequency: Up to 1GHz•Coherent multiprocessor support•ARMv7-A architecture•TrustZone® security•Thumb®-2 instruction set•Jazelle® RCT execution Environment Architecture•NEON™ media-processing engine•Single and double precision Vector Floating Point Unit (VFPU)•CoreSight™ and Program Trace Macrocell (PTM)•Timer and Interrupts•Three watchdog timers•One global timer•Two triple-timer countersCaches•32KB Level1 4-way set-associative instruction and data caches (independent for each CPU)•512KB 8-way set-associative Level2 cache(shared between the CPUs)•Byte-parity supportOn-Chip Memory•On-chip boot ROM•256KB on-chip RAM (OCM)•Byte-parity supportExternal Memory Interfaces•Multiprotocol dynamic memory controller•16-bit or 32-bit interfaces to DDR3, DDR3L, DDR2, or LPDDR2 memories•ECC support in 16-bit mode•1GB of address space using single rank of 8-, 16-, or 32-bit-wide memories•Static memory interfaces•8-bit SRAM data bus with up to 64MB support•Parallel NOR flash support•ONFI1.0 NAND flash support (1-bit ECC)•1-bit SPI, 2-bit SPI, 4-bit SPI (quad-SPI), or two quad-SPI (8-bit) serial NOR flash8-Channel DMA Controller•Memory-to-memory, memory-to-peripheral, peripheral-to-memory, and scatter-gather transaction supportI/O Peripherals and Interfaces•Two 10/100/1000 tri-speed Ethernet MAC peripherals with IEEE Std802.3 and IEEE Std1588 revision 2.0 support•Scatter-gather DMA capability•Recognition of 1588 rev. 2 PTP frames•GMII, RGMII, and SGMII interfaces•Two USB 2.0 OTG peripherals, each supporting up to 12 Endpoints •USB 2.0 compliant device IP core•Supports on-the-go, high-speed, full-speed, and low-speed modes•Intel EHCI compliant USB host•8-bit ULPI external PHY interface•Two full CAN 2.0B compliant CAN bus interfaces•CAN 2.0-A and CAN 2.0-B and ISO 118981-1 standardcompliant•External PHY interface•Two SD/SDIO 2.0/MMC3.31 compliant controllers•Two full-duplex SPI ports with three peripheral chip selects•Two high-speed UARTs (up to 1Mb/s)•Two master and slave I2C interfaces•GPIO with four 32-bit banks, of which up to 54 bits can be used with the PS I/O (one bank of 32b and one bank of 22b) and up to 64 bits(up to two banks of 32b) connected to the Programmable Logic •Up to 54 flexible multiplexed I/O (MIO) for peripheral pin assignments Interconnect•High-bandwidth connectivity within PS and between PS and PL•ARM AMBA® AXI based•QoS support on critical masters for latency and bandwidth control Zynq-7000 SoC Data Sheet: OverviewDS190 (v1.11.1) July 2, 2018Product SpecificationClock ManagementSome of the key highlights of the clock management architecture include:•High-speed buffers and routing for low-skew clock distribution•Frequency synthesis and phase shifting•Low-jitter clock generation and jitter filteringEach device in the Zynq-7000 family has up to 8 clock management tiles (CMTs), each consisting of one mixed-mode clock manager (MMCM) and one phase-locked loop (PLL). See Table5.Table 5:MMCM Count per DeviceZynq Device MMCM PLLXC7Z007S22XC7Z012S33XC7Z014S44XC7Z01022XC7Z01533XC7Z02044XC7Z03055XC7Z03588XC7Z04588XC7Z10088Mixed-Mode Clock Manager and Phase-Locked LoopThe MMCM and PLL share many characteristics. Both can serve as a frequency synthesizer for a wide range of frequencies and as a jitter filter for incoming clocks. At the center of both components is a voltage-controlled oscillator (VCO), which speeds up and slows down depending on the input voltage it receives from the phase frequency detector (PFD).There are three sets of programmable frequency dividers: D, M, and O. The pre-divider D (programmable by configuration and afterwards via DRP) reduces the input frequency and feeds one input of the traditional PLL phase/frequency comparator. The feedback divider M (programmable by configuration and afterwards via DRP) acts as a multiplier because it divides the VCO output frequency before feeding the other input of the phase comparator. D and M must be chosen appropriately to keep the VCO within its specified frequency range. The VCO has eight equally-spaced output phases (0°, 45°, 90°, 135°, 180°, 225°, 270°, and 315°). Each can be selected to drive one of the output dividers (six for the PLL, O0 to O5, and seven for the MMCM, O0 to O6), each programmable by configuration to divide by any integer from 1 to 128. The MMCM and PLL have three input-jitter filter options: Low-bandwidth mode, which has the best jitter attenuation;high-bandwidth mode, which has the best phase offset; and optimized mode, which allows the tools to find the best setting. MMCM Additional Programmable FeaturesThe MMCM can have a fractional counter in either the feedback path (acting as a multiplier) or in one output path. Fractional counters allow non-integer increments of 1/8 and can thus increase frequency synthesis capabilities by a factor of 8.The MMCM can also provide fixed or dynamic phase shift in small increments that depend on the VCO frequency. At1,600MHz, the phase-shift timing increment is 11.2ps.Clock DistributionEach device in the Zynq-7000 family provides six different types of clock lines (BUFG, BUFR, BUFIO, BUFH, BUFMR, and the high-performance clock) to address the different clocking requirements of high fanout, short propagation delay, and extremely low skew.Global Clock LinesIn each device, 32 global clock lines have the highest fanout and can reach every flip-flop clock, clock enable, and set/reset as well as many logic inputs. There are 12 global clock lines within any clock region driven by the horizontal clock buffers (BUFH). Each BUFH can be independently enabled/disabled, allowing for clocks to be turned off within a region, thereby offering fine-grain control over which clock regions consume power. Global clock lines can be driven by global clock buffers, which can also perform glitchless clock multiplexing and clock enable functions. Global clocks are often driven from the CMT, which can completely eliminate the basic clock distribution delay.Regional ClocksRegional clocks can drive all clock destinations in their region. A region is defined as any area that is 50 I/O and 50 CLB high and half the device wide. Each device in the Zynq-7000 family has between four and fourteen regions. There are four regional clock tracks in every region. Each regional clock buffer can be driven from either of four clock-capable input pins, and its frequency can optionally be divided by any integer from 1 to 8.I/O ClocksI/O clocks are especially fast and serve only I/O logic and serializer/deserializer (SerDes) circuits, as described in theI/O Logic section. The SoCs have a direct connection from the MMCM to the I/O for low-jitter, high-performance interfaces. Block RAMSome of the key features of the block RAM include:。

FPGA可编程逻辑器件芯片XC7K160T-L2FB676E中文规格书

FPGA可编程逻辑器件芯片XC7K160T-L2FB676E中文规格书

Designing with the CoreThis chapter includes guidelines and additional information to facilitate designing with the core.ClockingThe memory interface requires one MMCM, one TXPLL per I/O bank used by the memory interface, and two BUFGs. These clocking components are used to create the proper clock frequencies and phase shifts necessary for the proper operation of the memory interface.There are two TXPLLs per bank. If a bank is shared by two memory interfaces, both TXPLLs in that bank are used.Note:QDR II+ SRAM generates the appropriate clocking structure and no modifications to the RTL are supported.The QDR II+ SRAM tool generates the appropriate clocking structure for the desiredinterface. This structure must not be modified. The allowed clock configuration is asfollows:•Differential reference clock source connected to GCIO•GCIO to MMCM (located in center bank of memory interface)•MMCM to BUFG (located at center bank of memory interface) driving FPGA logic and all TXPLLs•MMCM to BUFG (located at center bank of memory interface) divide by two mode driving 1/2 rate FPGA logic•Clocking pair of the interface must be in the same SLR of memory interface for the SSI technology devicesProduct SpecificationStandardsThis core complies with the QDR II+ SRAM standard defined by the QDR Consortium. For more information on UltraScale™ architecture documents, see References, page826.PerformanceMaximum FrequenciesFor more information on the maximum frequencies, see the following documentation:•Kintex UltraScale FPGAs Data Sheet, DC and AC Switching Characteristics (DS892) [Ref2]•Virtex UltraScale FPGAs Data Sheet: DC and AC Switching Characteristics (DS893) [Ref3]•Kintex UltraScale+ FPGAs Data Sheet: DC and AC Switching Characteristics (DS922) [Ref4]•Virtex UltraScale+ FPGAs Data Sheet: DC and AC Switching Characteristics (DS923) [Ref5]•Zynq UltraScale+ MPSoC Data Sheet: DC and AC Switching Characteristics (DS925) [Ref6]•UltraScale Maximum Memory Performance Utility (XTP414) [Ref21]Resource UtilizationFor full details about performance and resource utilization, visit Performance and Resource Utilization.Chapter 27:Example Design Simulating the Example Design (Designs with Standard User Interface)The example design provides a synthesizable test bench to generate a fixed simple data pattern to the Memory Controller. This test bench consists of an IP wrapper and an example_tb that generates 16 writes and 16 reads. QDR-IV SRAM does not deliver the QDR-IV memory models. The memory model required for the simulation must be downloaded from the memory vendor’s website.The example design can be simulated using one of the methods in the following sections. Project-Based SimulationThis method can be used to simulate the example design using the Vivado Integrated Design Environment (IDE). Memory IP does not deliver the QDR-IV memory models. The memory model required for the simulation must be downloaded from the memory vendor website. The memory model file must be added in the example design using Add Sources option to run simulation.The Vivado simulator, Questa Advanced Simulator, IES, and VCS tools are used for QDR-IV IP verification at each software release. The Vivado simulation tool is used for QDR-IV IP verification from 2015.1 Vivado software release. The following subsections describe steps to run a project-based simulation using each supported simulator tool.。

FPGA可编程逻辑器件芯片XC7Z035-2FFG676I中文规格书

FPGA可编程逻辑器件芯片XC7Z035-2FFG676I中文规格书

SPI InterfacesTable 43:SPI Master Mode Interface Switching Characteristics (1)Symbol DescriptionMin Typ Max Units T DCMSPICLK SPI master mode clock duty cycle –50–%T MSPIDCK Input setup time for SPI {0,1}_MISO 2.00––ns T MSPICKD Input hold time for SPI {0,1}_MISO8.20––ns T MSPICKO Output delay for SPI {0,1}_MOSI and SPI {0,1}_SS –3.10– 3.90nsT MSPISSCLK Slave select asserted to first active clock edge 1––F SPI_REF_CLK cycles T MSPICLKSS Last active clock edge to slave select deasserted 0.5––F SPI_REF_CLK cyclesF MSPICLK SPI master mode device clock frequency ––50.00MHz F SPI_REF_CLK SPI reference clock frequency––200.00MHzNotes:1.Test conditions: LVCMOS33, slow slew rate, 8mA drive strength, 15pF loads.Figure 12:SPI Master (CPHA =0) Interface Timing DiagramFigure 13:SPI Master (CPHA =1) Interface Timing DiagramPL Performance CharacteristicsThis section provides the performance characteristics of some common functions and designs implemented in the PL. The numbers reported here are worst-case values; they have all been fully characterized. These values are subject to the same guidelines as the AC Switching Characteristics, page 15. In each table, the I/O bank type is either High Performance (HP) or High Range (HR).Table 53 provides the maximum data rates for applicable memory standards using the Zynq-7000SoC memory PHY. The final performance of the memory interface is determined through a complete design implemented in the Vivado or ISE Design Suite, following guidelines in the Zynq-7000 SoC and 7Series Devices Memory Interface Solutions User Guide (UG586).Table 52:PL Networking Applications Interface PerformancesDescriptionI/O Bank Type Speed GradeUnits -3E -2E/-2I/-2LI-1C/-1I -1Q/-1LQ SDR LVDS transmitter (using OSERDES; DATA_WIDTH =4 to 8)HR 710710625625Mb/s HP 710710625625Mb/s DDR LVDS transmitter (using OSERDES; DATA_WIDTH =4 to 14)HR 12501250950950Mb/s HP 1600140012501250Mb/s SDR LVDS receiver (SFI-4.1)(1)HR 710710625625Mb/s HP 710710625625Mb/s DDR LVDS receiver (SPI-4.2)(1)HR 12501250950950Mb/s HP1600140012501250Mb/sNotes:1.LVDS receivers are typically bounded with certain applications where specific dynamic phase-alignment (DPA) algorithms dominate deterministic performance.PS ConfigurationDDR Memory InterfacesTable 25:PS Reset/Power Supply Timing RequirementsSymbol DescriptionPS_CLK Frequency(MHz)Min Max Units T SLW (1)128KB CRC eFUSE disabled and PLL enabled.Default configuration301239ms 33.331240ms 601340ms 128KB CRC eFUSE disabled and PLL in bypass.30–3213ms 33.33–2713ms 60–925ms 128KB CRC eFUSE enabled and PLL enabled.(2)30–199ms 33.33–1612ms 60–325ms 128KB CRC eFUSE enabled and PLL in bypass.(2)30–830–788ms 33.33–746–705ms 60–408–374msNotes:1.Valid for power supply ramp times of less than 6ms. For ramp times longer than 6ms, see the BootROM Performance section of the Zynq-7000SoC Technical Reference Manual (UG585).2.If any PS and PL power supplies are tied together, observe the PS_POR_B assertion time requirement (T PSPOR ) in Table 24 and its accompanying note.Table 26:Processor Configuration Access Port Switching CharacteristicsSymbol DescriptionMin Typ Max Units F PCAPCKMaximum processor configuration access port (PCAP) frequency––100MHzTable 27:DDR3 Interface Switching Characteristics (1333Mb/s)(1)Symbol Description Min Max Units T DQVALID (2)Input data valid window 450–ps T DQDS (3)Output DQ to DQS skew 95–ps T DQDH (4)Output DQS to DQ skew 222–ps T DQSS Output clock to DQS skew–0.110.08T CK T CACK (5)Command/address output setup time with respect to CLK 465–ps T CKCA (6)Command/address output hold time with respect to CLK528–ps质量等级领域:宇航级IC 、特军级IC 、超军级IC 、普军级IC 、禁运IC 、工业级IC ,军级二三极管,功率管等;应用领域:航空航天、船舶、汽车电子、军用计算机、铁路、医疗电子、通信网络、电力工业以及大型工业设备祝您:工作顺利,生活愉快!以深圳市美光存储技术有限公司提供的参数为例,以下为XC7Z035-2FFG676I的详细参数,仅供参考。

FPGA可编程逻辑器件芯片XC7K160T-1FB676I中文规格书

FPGA可编程逻辑器件芯片XC7K160T-1FB676I中文规格书

UltraScale Architecture-Based FPGAs Memory IP v1.4PG150 January 21, 2021Chapter 4:Designing with the CoreEXTRA_CMD_DELAY ParameterDepending on the number of ranks, ECC mode, and DRAM latency configuration, PHY must be programmed to add latency on the DRAM command address bus. This provides enough pipeline stages in the PHY programmable logic to close timing and to process mcWrCAS . Added command latency is generally needed at very low CWL in single-rank configurations, or in multi-rank configurations. Enabling ECC might also require adding command latency, but this depends on whether your controller design (outside the PHY) depends on receiving the wrDataEn signal a system clock cycle early to allow for generating ECC check bits.The EXTRA_CMD_DELAY parameter is used to add one or two system clock cycles of delay on the DRAM command/address path. The parameter does not delay the mcWrCAS ormcRdCAS signals. This gives the PHY more time from the assertion of mcWrCAS or mcRdCAS to generate XIPHY control signals. To the PHY, an EXTRA_CMD_DELAY setting of one or two is the same as having a higher CWL or AL setting.Table 4-75 shows the required EXTRA_CMD_DELAY setting for various configurations of CWL, CL, and AL. CAL_WR_DQS_DQ "FULL""FULL"Flag for calibration, write DQS-to-DQ setting CAL_COMPLEX "FULL""SKIP", "FULL"Flag for calibration, complex pattern setting CAL_RD_VREF "SKIP""SKIP", "FULL"Flag for calibration, read V REF setting CAL_WR_VREF "SKIP""SKIP", "FULL"Flag for calibration, write V REF setting CAL_JITTER "FULL""FULL", "NONE"Reserved for verification. Speed up calibration simulation. Must be set to "FULL" for all hardware test cases.t200us 53305 decimal 0x3FFFF.. 1Wait period after BISC complete to DRAM reset_n deassertion in system clockst500us 133263 decimal 0x3FFFF.. 1Wait period after DRAM reset_n deassertion to CKE assertion in system clocks Table 4-74:PHY Only Parameters (Cont’d)Parameter Name Default ValueAllowable Values Description Table 4-75:EXTRA_CMD_DELAY Configuration SettingsDRAM ConfigurationRequired EXTRA_CMD_DELAY DRAM CAS Write Latency CWL DRAM CAS Latency CLDRAM Additive Latency MR1[4:3]Single-Rank without ECC Single-Rank with ECC or Multi-Rank 550125510155212UltraScale Architecture-Based FPGAs Memory IP v1.4PG150 January 21, 2021Chapter 4:Designing with the CoreTwo DDR3 32-bit interfaces can fit in three banks by using all of the pins in the banks. To fit the configuration in three banks for various scenarios, different Vivado IDE options can be selected (based on requirement). Various Vivado IDE options that lead to pin savings are listed as follows:•In data byte group, pins 1 and 12 are unused. Unused pins of the data byte group can be used for Address/Control pins if all Address/Control pins are allocated in the same bank.For example, if T3 byte group of Bank #2 is selected for data. Pins T3L_1 and T3U_12 are not used by data and these pins can be used for Address/Control if all Address/Control pins are allocated in Bank #2.•If DCI cascade is selected, the vrp pin can be used as normal a I/O.•Memory reset pin (reset_n pin) can be allocated anywhere as long as timing is met.•System clock pins can be allocated in different banks and must be within the same column of the memory interface banks selected.•By disabling the Enabling Chip Select Pin option in the Vivado IDE, it frees up a pin and the cs# ports are not generated.•By disabling the Data Mask option in Vivado IDE, it frees up a pin and the data mask (dm ) port is not generated.One of the configurations with two 32-bit DDR3 interfaces in three banks is given in Table 4-5 (it is valid for memory part of x8/x16). Two interface signals are separated by name c0_ and c1_. Example is given with interface-0 (c0) selected in banks 0 and 1 and interface-1 (c1) selected in banks 1 and 2. 1dqs0_n T0L_1N 1dqs0_p T0L_0PTable 4-5:Two 32-Bit DDR3 Interfaces Contained in Three Banks BankSignal Name Byte Group I/O Type 2c1_ddr3_we_n T3U_12–2c1_ddr3_ck_c[0]T3U_11N 2c1_ddr3_ck_t[0]T3U_10P 2c1_ddr3_cas_n T3U_9N 2c1_ddr3_ras_n T3U_8P 2c1_ddr3_ba[2]T3U_7N 2c1_ddr3_ba[1]T3U_6P 2c1_ddr3_ba[0]T3L_5N Table 4-4:16-Bit DDR3 Interface (x4 Part) Contained in One Bank (Cont’d)BankSignal Name Byte Group I/O Type。

FPGA可编程逻辑器件芯片XC7V2000T-L2FH1761E中文规格书

FPGA可编程逻辑器件芯片XC7V2000T-L2FH1761E中文规格书

Table 98:GTX Transceiver Receiver Switching CharacteristicsSymbol Description Min Typ Max Units F GTXRX Serial data rate0.500–F GTXMAX Gb/s T RXELECIDLE Time for RXELECIDLE to respond to loss or restoration of data–10–ns RX OOBVDPP OOB detect threshold peak-to-peak60–150mVRX SST Receiver spread-spectrumtracking(1)Modulated @ 33KHz–5000–0ppmRX RL Run length (CID)––512UIRX PPMTOL Data/REFCLK PPM offsettoleranceBit rates≤6.6Gb/s–1250–1250ppmBit rates >6.6Gb/s and≤8.0Gb/s–700–700ppmBit rates>8.0Gb/s–200–200ppmSJ Jitter Tolerance(2)JT_SJ12.5Sinusoidal jitter (QPLL)(3)12.5Gb/s0.3––UI JT_SJ11.18Sinusoidal jitter (QPLL)(3)11.18Gb/s0.3––UI JT_SJ10.32Sinusoidal jitter (QPLL)(3)10.32Gb/s0.3––UI JT_SJ9.95Sinusoidal jitter (QPLL)(3)9.95Gb/s0.3––UI JT_SJ9.8Sinusoidal jitter (QPLL)(3)9.8Gb/s0.3––UI JT_SJ8.0Sinusoidal jitter (QPLL)(3)8.0Gb/s0.44––UI JT_SJ6.6_QPLL Sinusoidal jitter (QPLL)(3) 6.6Gb/s0.48––UI JT_SJ6.6_CPLL Sinusoidal jitter (CPLL)(3) 6.6Gb/s0.44––UI JT_SJ5.0Sinusoidal jitter (CPLL)(3) 5.0Gb/s0.44––UI JT_SJ4.25Sinusoidal jitter (CPLL)(3) 4.25Gb/s0.44––UI JT_SJ3.75Sinusoidal jitter (CPLL)(3) 3.75Gb/s0.44––UI JT_SJ3.2Sinusoidal jitter (CPLL)(3) 3.2Gb/s(4)0.45––UI JT_SJ3.2L Sinusoidal jitter (CPLL)(3) 3.2Gb/s(5)0.45––UI JT_SJ2.5Sinusoidal jitter (CPLL)(3) 2.5Gb/s(6)0.5––UI JT_SJ1.25Sinusoidal jitter (CPLL)(3) 1.25Gb/s(7)0.5––UI JT_SJ500Sinusoidal jitter (CPLL)(3)500Mb/s0.4––UI SJ Jitter Tolerance with Stressed Eye(2)JT_TJSE3.2Total jitter with stressed eye(8)3.2Gb/s0.70––UI 6.6Gb/s0.70––UIJT_SJSE3.2Sinusoidal jitter with stressedeye(8)3.2Gb/s0.1––UI6.6Gb/s0.1––UINotes:ing RXOUT_DIV=1, 2, and 4.2.All jitter values are based on a bit error ratio of 1e–12.3.The frequency of the injected sinusoidal jitter is 10MHz.4.CPLL frequency at 3.2GHz and RXOUT_DIV=2.5.CPLL frequency at 1.6GHz and RXOUT_DIV=1.6.CPLL frequency at 2.5GHz and RXOUT_DIV=2.7.CPLL frequency at 2.5GHz and RXOUT_DIV=4.posite jitter with RX and LPM or DFE mode.GTX Transceiver Protocol Jitter CharacteristicsFor Table99 through Table104, the 7Series FPGAs GTX/GTH Transceivers User Guide (UG476) contains recommended settings for optimal usage of protocol specific characteristics.Table 99:Gigabit Ethernet Protocol CharacteristicsDescription Line Rate (Mb/s)Min Max Units Gigabit Ethernet Transmitter Jitter GenerationTotal transmitter jitter (T_TJ)1250–0.24UI Gigabit Ethernet Receiver High Frequency Jitter ToleranceTotal receiver jitter tolerance12500.749–UI Table 100:XAUI Protocol CharacteristicsDescription Line Rate (Mb/s)Min Max Units XAUI Transmitter Jitter GenerationTotal transmitter jitter (T_TJ)3125–0.35UI XAUI Receiver High Frequency Jitter ToleranceTotal receiver jitter tolerance31250.65–UITable 101:PCI Express Protocol Characteristics(1)Standard Description Line Rate (Mb/s)Min Max Units PCI Express Transmitter Jitter GenerationPCI Express Gen 1Total transmitter jitter2500–0.25UI PCI Express Gen 2Total transmitter jitter5000–0.25UIPCI Express Gen 3Total transmitter jitter uncorrelated8000–31.25ps Deterministic transmitter jitter uncorrelated–12psPCI Express Receiver High Frequency Jitter TolerancePCI Express Gen 1Total receiver jitter tolerance25000.65–UIPCI Express Gen 2(2)Receiver inherent timing error50000.40–UI Receiver inherent deterministic timing error0.30–UIPCI Express Gen 3Receiver sinusoidal jittertolerance0.03MHz–1.0MHz80001.00–UI1.0MHz–10MHz Note3–UI10MHz–100MHz0.10–UINotes:1.Tested per card electromechanical(CEM)methodology.ing common REFCLK.3.Between 1MHz and 10MHz the minimum sinusoidal jitter roll-off with a slope of 20dB/decade.。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

XTP385 (v2.0) March 30, 2015OverviewTo ensure business continuity and enable high volume supply chain capabilities for all Virtex®-6 and selected 7 series FPGAs product families, Xilinx is qualifying an additional substrate supplier, Unimicron Technology Corporation (UMTC) for flip chip ball grid area (FCBGA) packages.For Virtex-6 FPGAs, this change affects all standard and specification control document (SCD) XC Commercial (C) and Industrial (I) grade devices. Hi-Rel “XQ” devices are not affected by this PCN.For Artix®-7, Zynq®-7000 All Programmable, Virtex®-7 and Kintex®-7 in the SB, FB, FF, SBG, FBG and FFG packages, this change affects all standard and specification control document (SCD) XC Commercial (C) grade, Extended (E) grade and Industrial (I) grade devices. Virtex-7 in the FL, FLG, FH and FHG packages, and Automotive “XA” devices for 7 series FPGAs are not affected by this PCN. Kintex®-7Q, Virtex®-7Q and Zynq®-7000Q All Programmable Hi-Rel “XQ” FPGAs RF flip chip packages are affected (Refer to XCN14013).This additional supplier will adhere to the same performance, quality and reliability specifications that apply to all product families proven through extensive qualification and testing. As a result, there is no change in form, fit, function, or reliability with this substrate supplier addition.FAQsQ: What is the change?Xilinx is qualifying an additional substrate supplier, Unimicron Technology Corporation (UMTC) for flip chip ball grid area (FCBGA) packages for all Virtex-6 and selected 7 series FPGAs product families. UMTC is a reputablecompany supplying component substrate and system printed circuit board to many semiconductor customers and original equipment make (OEM) customers for over 10 years. Q: Why is Xilinx making this change?This change ensures business continuity and enables high volume supply chain capabilities for Xilinx product families.Q: Why adding Phase 3?As a result of the successful implementation for Xilinx FPGAs (Virtex-6 FPGAs and 7-series), we are expanding this program to include SoC (Zynq-7000 All Programmable) to this change. Q: Which products are affected?For Virtex-6 FPGAs, this change affects all standard and specification control document (SCD) XC Commercial (C) and Industrial (I) grade devices. Hi-Rel “XQ” devices in the FFG1156 package are not affected by this PCN. For Artix-7, Zynq-7000 All Programmable, Virtex-7 and Kintex-7 in the SB, FB, FF, SBG, FBG and FFG packages, this change affects all standard and specification control document (SCD) XC Commercial (C) grade, Extended (E) grade and Industrial (I) grade devices. Virtex-7 in the FL, FLG, FH and FHG packages, and Automotive“XA” devices找FPGA ,上赛灵思半导体(深圳)有限公司FAQ: Transition Schedule for Substrate Supplier for Virtex-6 and 7 Series FPGAs Flip Chip PackagesXTP385 (v2.0) March 30, 2015for 7 series FPGAs are not affected by this PCN. Kintex-7Q, Virtex-7Q and Zynq-7000Q All Programmable Hi-Rel “XQ” FPGAs RF flip chip packages are affected (Refer to XCN14013).Affected device package-pin are listed in the Table 1, Table 2, Table 3, Table 4 and Table 5 below:FAQ: Transition Schedule for Substrate Supplier for Virtex-6 and 7 Series FPGAs Flip Chip PackagesXTP385 (v2.0) March 30, 2015Table 5: Zynq-7000 FPGAs Devices Package Product AffectedNotes:(1)Please refer to Table 6 Phase 1 cross-ship schedule (2)Please refer to Table 7 Phase 2 cross-ship schedule (3)Please refer to Table 8 Phase 3 cross-ship schedule*For inquiries about a specific part number, please contact your customer operations representative or CQE representative for any additional questions.Q: When will this change take effect?This change will take effect in Q1, CY2015. At that time, Xilinx will start cross shipping all Virtex-6 product families and selected 7 series FPGAs product families from UMTC. This will result in initial production device shipments to customers in the timelines indicated in Table 6 and Table 7 and Table 8 below.Table 6: Phase 1 - Virtex-6 and Kintex-7 Devices Qualification Completion and Cross-Ship ScheduleFAQ: Transition Schedule for Substrate Supplier for Virtex-6 and 7 Series FPGAs Flip Chip PackagesXTP385 (v2.0) March 30, 2015FAQ: Transition Schedule for Substrate Supplier for Virtex-6 and 7 Series FPGAs Flip Chip PackagesXTP385 (v2.0) March 30, 2015Revision HistoryThe following table shows the revision history for this document:。

相关文档
最新文档