实时信号处理作业.
实时系统中的实时信号检测与实时信号处理技术(九)

实时系统中的实时信号检测与实时信号处理技术引言:实时系统是指对于某一事件的发生,系统需要在严格的时间限制下做出相应的处理。
在实时系统中,实时信号检测和实时信号处理技术被广泛应用。
本文将围绕实时系统中的实时信号检测和实时信号处理技术展开论述,探讨其技术原理和应用场景。
一、实时信号检测技术实时信号检测是实时系统中的重要环节,旨在对系统环境中产生的各种信号进行有效的检测与识别。
实时信号检测技术的核心在于快速准确地判断信号的存在与否。
1. 传感器技术传感器是实时信号检测中常用的技术手段之一。
它可以通过感知外界的物理量变化,并将其转化为电信号。
比如温度传感器、压力传感器、光电传感器等可以实时检测环境中的温度、压力、光亮等信号。
传感器技术在工业自动化、智能家居、智能交通等领域中有着广泛的应用。
2. 数据监测与分析技术数据监测与分析技术是实时信号检测中的另一个重要技术手段。
它通过采集和分析系统中产生的数据,从中提取出有用的信号信息。
通过对数据的实时监测和分析,能够准确判断信号的存在与否,并快速做出相应的反应。
数据监测与分析技术在金融风控、智能交通管理等领域中发挥着关键作用。
二、实时信号处理技术实时信号处理是实时系统中不可或缺的环节,它旨在对检测到的实时信号进行处理和分析,以实现系统的各种功能。
1. 数字信号处理技术数字信号处理技术是实时信号处理中的核心技术之一。
它通过数学方法对实时信号进行采样、量化、变换、滤波等处理,以提取出信号中的有用信息。
数字信号处理技术在音频处理、图像处理、通信系统等领域中有着广泛的应用。
2. 实时图像处理技术实时图像处理技术是实时信号处理的一个重要分支。
它通过对实时图像进行采集、处理和分析,以实现图像的实时处理和识别。
实时图像处理技术在医疗影像、智能安防等方面具有广泛的应用前景。
3. 实时音频处理技术实时音频处理技术是实时信号处理领域的另一重要分支。
它通过对音频信号进行采集、处理和分析,以实现对声音的实时处理和识别。
一种实时系统中的信号处理实现

( di i e st Xi an Un v r iy。Xi 口 71 0 ) ’ 0 7i
Ab ta t R e ie e s o e — i e i na pr c s i s s e be o e s r c : qu r m nt t r altm s g l o e sng y t m c m hi he a h gh r n e e t g r nd i e i r c n y a s,i r qu r s s t m h l bl o p oc s a g m o er t e ie ys e s ou d ea e t r e s a l r e a untofda a I r e o e u e r a —i e p r t . n o d rt ns r e ltm e —
脉 冲 渡 的 时 域 频 域 信 息 , 已测 试 成 功 。 并
关键词 : S 高 速 数 据 处 理 系统 ; 号 处 理 D P; 信
中图分类号 : TN9 1 7 l . 文献标志码 : A
I p e e a i n o i na o e s ng i a — i e S s e m l m nt to f S g lPr c s i n a Re ltm y t m
i d b sng o i l o a e y u i ffed pr gr mm a l a e a r y ( be g t ra FPGA )m a t r nc a de by Ale a I nd ADSP— — TS1 S s t m e- 01 ys e d — v l e y AD eop d b Compa y.A g s e d da a pa a l ld p oc s i g s t m si r uc d,a e a i n fo n hi h— p e t r le e r e s n ys e i nt od e nd op r to l w
数字信号处理作业答案(参考版-第一章)

1-2习题1-2图所示为一个理想采样—恢复系统,采样频率Ωs =8π,采样后经过理想低通G jΩ 还原。
解:(1)根据余弦函数傅里叶变换知:)]2()2([)]2[cos(πδπδππ-Ω++Ω=t F ,)]6()6([)]6[cos(πδπδππ-Ω++Ω=t F 。
又根据抽样后频谱公式:∑∞-∞=∧Ω-Ω=Ωk s a a jk j X T j X )(1)(,得到14T= ∑∞-∞=∧--Ω+-+Ω=Ωk a k k j X )]82()82([4)(1ππδππδπ∑∞-∞=∧--Ω+-+Ω=Ωk a k k j X )]86()86([4)(2ππδππδπ所以,)(1t x a ∧频谱如下所示)(2t x a ∧频谱如下所示(2))(1t y a 是由)(1t x a ∧经过理想低通滤波器)(Ωj G 得到,)]2()2([)()()]([11πδπδπ-Ω++Ω=ΩΩ=∧j G j X t y F a a ,故)2cos()(1t t y a π=(4π) (4π) (4π)(4π)(4π) (4π) Ω-6π-10π-2π 2π0 6π10π)(1Ω∧j X a Ω10π-10π -6π-2π 0 2π6π-14π 14π(4π)(4π) (4π)(4π) (4π) (4π)(4π) (4π))(2Ω∧j X a同理,)]2()2([)()()]([22πδπδπ-Ω++Ω=ΩΩ=∧j G j X t y F a a 故)2cos()(2t t y a π=(3)由题(2)可知,无失真,有失真。
原因是根据采样定理,采样频率满足信号)(1t x a 的采样率,而不满足)(2t x a 的,发生了频谱混叠。
1-3判断下列序列是否为周期序列,对周期序列确定其周期。
(1)()5cos 86x n A ππ⎛⎫=+ ⎪⎝⎭(2)()8n j x n eπ⎛⎫- ⎪⎝⎭=(3)()3sin 43x n A ππ⎛⎫=+ ⎪⎝⎭解:(1)85πω=,5162=ωπ为有理数,是周期序列,.16=N (2)πωπω162,81==,为无理数,是非周期序列; (3)382,43==ωππω,为有理数,是周期序列,8=N 。
现代信号处理大作业

现代信号处理大型作业一.试用奇阶互补法设计两带滤波器组(高、低通互补),进而实现四带滤波器组;并画出其频响。
滤波器设计参数为:F p =1.7KHz , F r =2.3KHz , F s =8KHz , A rmin ≥70dB 。
(一)、分析与通常的滤波器相比,互补滤波器具有优良的结构特性和结构特性,具有较低的噪声能量和系数敏感性,其定义如下:一组滤波器H 12(),(),.......()Z H Z H Z n 如果满足下式:He Kjw k n(),==∑110<w<2π 则称这组滤波器为幅度互补滤波器;如果满足下式:He kjw k n()=∑=121, 0<w<2π则称这组滤波器为功率互补滤波器,同时互补滤波器还应该满足:Hz A z kk n()()=∑=1其中A(z)为全通函数,适当的选择全通函数,可以使两带函数具有所需要的低通和高通特性。
(二)、设计步骤(1) 对Fp 、Fr 进行预畸);();(''FsFrtg FsFptg r p ∏=Ω∏=Ω(2) 计算'''*r p c ΩΩ=Ω,判断'c Ω是否等于1,即该互补滤波器是否为互补镜像滤波器(3) 计算相关系数⎪⎩⎪⎨⎧-==+++=+-=-=ΩΩ=--=偶数)N 为(;21奇数)N 为 (;;lg /)16/1lg(;150152;1121;1;;])110)(110[(1213090500''02'''211-min1.0min1.0i i u q k N q q q q q k k q k k k k rp Ar Ap;)2cos()1(21))12(sin()1(21)1(21'2∑∑∞=∞=+-++-=Ωm mm m m m m i u Nm q u Nm q q ππ;42⎥⎦⎤⎢⎣⎡=N N;221N N N -⎥⎦⎤⎢⎣⎡=;)/1)(1(2'2'k k v i i i Ω-Ω-=12'1212,1;12N i v i i i =Ω+=--α 22'22,1;12N i v iii =Ω+=β (4) 互补镜像滤波器的数字实现;22i ii A αα+-=;22iii B ββ+-=1221,1;1)(N i ZA Z A Z H i i i =++=∏--22212,1;1)(N i ZB Z B Z Z H i i i =++=∏--- )];()([21)(21Z H Z H Z H L +=(三)、程序与结果 1. 二带滤波器组 (1) 源程序: clear; clf;Fp=1700;Fr=2300;Fs=8000; Wp=tan(pi*Fp/Fs); Wr=tan(pi*Fr/Fs); Wc=sqrt(Wp*Wr); k=Wp/Wr;k1=sqrt(sqrt(1-k^2)); q0=0.5*(1-k1)/(1+k1);q=q0+2*q0^5+15*q0^9+150*q0^13; N=11;N2=fix(N/4); M=fix(N/2); N1=M-N2; for jj=1:M a=0;for m=0:5a=a+(-1)^m*q^(m*(m+1))*sin((2*m+1)*pi*jj/N);%N is odd, u=j end ab=0;for m=1:5b=b+(-1)^m*q^(m^2)*cos(2*m*pi*jj/N); end bW(jj)=2*q^0.25*a/(1+2*b);V(jj)=sqrt((1-k*W(jj)^2)*(1-W(jj)^2/k)); endfor i=1:N1alpha(i)=2*V(2*i-1)/(1+W(2*i-1)^2); endfor i=1:N2beta(i)=2*V(2*i)/(1+W(2*i)^2); endfor i=1:N1a(i)=(1-alpha(i)*Wc+Wc^2)/(1+alpha(i)*Wc+Wc^2); endfor i=1:N2b(i)=(1-beta(i)*Wc+Wc^2)/(1+beta(i)*Wc+Wc^2); endw=0:0.0001:0.5;LP=zeros(size(w));HP=zeros(size(w));for n=1:length(w)z=exp(j*w(n)*2*pi);H1=1;for i=1:N1H1=H1*(a(i)+z^(-2))/(1+a(i)*z^(-2)) ;endH2=1/z;for i=1:N2H2=H2*(b(i)+z^(-2))/(1+b(i)*z^(-2));endLP(n)=abs((H1+H2)/2);HP(n)=abs((H1-H2)/2);endplot(w,LP,'b',w,HP,'r');hold on;xlabel('digital frequency');ylabel('amptitude');(2)运行结果:见图1图1 二带数字滤波器组2.四带滤波器组(1)源程序:clf;Fp=1700;Fr=2300;Fs=8000;Wp=tan(pi*Fp/Fs);Wr=tan(pi*Fr/Fs);Wc=sqrt(Wp*Wr);k=Wp/Wr;k1=sqrt(sqrt(1-k^2));q0=0.5*(1-k1)/(1+k1);q=q0+2*q0^5+15*q0^9+150*q0^13;N=11;N2=fix(N/4);M=fix(N/2);N1=M-N2;for jj=1:Ma=0;for m=0:5a=a+(-1)^m*q^(m*(m+1))*sin((2*m+1)*pi*jj/N); % N is odd, u=jendb=0;for m=1:5b=b+(-1)^m*q^(m^2)*cos(2*m*pi*jj/N);endW(jj)=2*q^0.25*a/(1+2*b);V(jj)=sqrt((1-k*W(jj)^2)*(1-W(jj)^2/k));Endfor i=1:N1alpha(i)=2*V(2*i-1)/(1+W(2*i-1)^2);endfor i=1:N2beta(i)=2*V(2*i)/(1+W(2*i)^2);endfor i=1:N1a(i)=(1-alpha(i)*Wc+Wc^2)/(1+alpha(i)*Wc+Wc^2);endfor i=1:N2b(i)=(1-beta(i)*Wc+Wc^2)/(1+beta(i)*Wc+Wc^2);endw=0:0.0001:0.5;LLP=zeros(size(w));LHP=zeros(size(w));HLP=zeros(size(w));HHP=zeros(size(w));for n=1:length(w)z=exp(j*w(n)*2*pi);H1=1;for i=1:N1H1=H1*(a(i)+z^(-2))/(1+a(i)*z^(-2)) ;endH21=1;for i=1:N1H21=H21*(a(i)+z^(-4))/(1+a(i)*z^(-4)) ;H2=1/z;for i=1:N2H2=H2*(b(i)+z^(-2))/(1+b(i)*z^(-2));endH22=1/(z^2);for i=1:N2H22=H22*(b(i)+z^(-4))/(1+b(i)*z^(-4));endLP=((H1+H2)/2);HP=((H1-H2)/2);LLP(n)=abs((H21+H22)/2*LP);LHP(n)=abs((H21-H22)/2*LP);HHP(n)=abs((H21+H22)/2*HP);HLP(n)=abs((H21-H22)/2*HP);endplot(w,LLP,'b',w,LHP,'r',w,HLP,'k',w,HHP,'m')hold onxlabel('digital frequency');ylabel('amptitude');(2)运行结果:见图2图2 四带数字滤波器组二、根据《现代数字信号处理》第四章提供的数据,试用如下方法估计其功率谱,并画出不同参数情况下的功率谱曲线:1)Levison算法2)Burg算法3) ARMA 模型法 4) MUSIC 算法 1 Levinson 算法Levinson 算法用于求解Yule-Walker 方程,是一种按阶次进行递推的算法,即首先以AR (0)和AR (1)模型参数作为初始条件,计算AR (2)模型参数;然后根据这些参数计算AR (3)参数,等等,一直到计算出AR (p )模型参数为止,需要的运算量数量级为2p ,其中p 为AR 模型的阶数。
DSP与FPGA实时信号处理系统介绍

DSP与FPGA实时信号处理系统介绍DSP(Digital Signal Processor)和FPGA(Field Programmable Gate Array)是数字信号处理领域中两种广泛应用的技术,它们在实时信号处理系统中有着重要的作用。
本文将分别介绍DSP和FPGA,并结合它们在实时信号处理系统中的应用,探讨它们的优势和特点。
1.DSP介绍DSP是一种专门用于数字信号处理的专用处理器。
它的主要特点是具有高性能、低成本和灵活性强。
DSP通常用于音频、视频、通信等领域的信号处理应用中,它可以实现信号的滤波、变换、编解码等处理。
DSP的结构包括数据和指令存储器、运算器、控制逻辑等部件,具有高速的浮点运算能力和多种数据处理功能。
在实时信号处理系统中,DSP的主要优势包括:-可编程性:DSP的指令集和操作模式可以根据应用需求进行定制和优化,使其适用于各种不同的信号处理算法和实时处理任务。
-高性能:DSP器件通常具有高速的运算能力和大容量的存储器,可以实现复杂的算法并实现高速的信号处理。
-低延迟:DSP通常具有低延迟的特点,适合需要实时响应的信号处理应用。
DSP在实时信号处理系统中的应用非常广泛,包括音频处理、视觉处理、通信系统等领域。
例如,在音频处理中,DSP可以用于音频编解码、音频滤波、声音增强等任务;在通信系统中,DSP可以用于信号解调、频谱分析、自适应滤波等任务。
2.FPGA介绍FPGA是一种可编程逻辑器件,它具有灵活性强、重构方便和并行处理能力强的特点。
FPGA的基本单元是可编程逻辑单元(PLU)和存储单元(BRAM),通过配置这些单元可以实现各种逻辑功能和数据处理任务。
FPGA可以实现硬件加速、并行处理和定制化功能,适用于各种复杂的数字信号处理算法和实时处理任务。
在实时信号处理系统中,FPGA的主要优势包括:-灵活性:FPGA的硬件结构可以通过重新配置来适应不同的应用需求,可以实现多种功能模块的并行处理和硬件加速。
简述典型实时数字信号处理系统的组成部分

简述典型实时数字信号处理系统的组成部分典型实时数字信号处理系统是将实时信号从一种格式转换成另
一种格式,以提高信号传输效率并增强功能的系统。
它是一种混合式系统,由若干部件组成,其中一些部件是硬件,另一些部件是软件。
硬件是指典型实时数字信号处理系统中最重要的元器件。
其中包括模拟和数字接口单元、信号采集器、信号处理器、信号调制器、信号解调器、视频处理器和AV处理器等。
这些元器件结合在一起形成实时数字信号处理系统框架,为系统的正常运行提供必要的条件和保障。
另外,硬件中还包括控制器、存储器、外设、数据路径和通信接口等。
控制器主要负责控制整个系统的工作,外设主要负责与外部设备的通信,存储器主要负责系统的存储,数据路径主要负责数据的通信,通信接口负责与外部网络的连接。
软件是指在实时数字信号处理系统中有用的计算机程序,其中包括实时系统内核、驱动程序、用户界面和算法等。
实时系统内核主要处理系统中各个硬件设备之间的资源协调和管理,驱动程序可以支持硬件设备的正常工作,用户界面可以为用户提供便利的操作环境,算法则可以用来实现系统的复杂功能。
总的来说,一个典型的实时数字信号处理系统主要由硬件和软件组成,其中硬件主要负责信号的捕获、处理和输出,软件主要负责控制硬件的工作、处理信号和提供系统的功能。
实时数字信号处理系统技术正在不断发展,为我们提供了新的思路和方法,以提高实时信号
处理的功能和效率。
实时系统中的实时图像与信号处理技术(二)

实时系统中的实时图像与信号处理技术人类的科技发展日新月异,特别是在信息技术领域,许多技术的应用呈现出了爆发式的增长。
实时系统作为信息技术的重要组成部分,在许多领域都有着广泛应用。
实时图像与信号处理技术是实时系统的重要组成部分,它们具有着深远的意义和重要的应用价值。
实时图像处理技术是指在时间要求严格的情况下对图像进行的处理。
图像处理是利用计算机对图像进行数字化处理并进行一系列的算法操作,以达到特定的目的。
实时图像处理技术广泛应用于医学影像、安防监控、自动驾驶、机器视觉等领域。
在医学影像领域,实时图像处理技术不仅可以用于医生的诊断和治疗,还可以辅助医生进行手术操作。
在安防监控领域,实时图像处理技术可以实时监控和识别目标,在关键时刻及时报警。
在自动驾驶领域,实时图像处理技术可以辨识和分析道路上的标志、交通信号等,以实现自动驾驶系统的智能化。
在机器视觉领域,实时图像处理技术可以用于工业自动化、无人机探测等领域,提高生产效率和检测准确性。
实时图像处理技术的关键问题是如何保证实时性。
实时性是指系统对输入信号的及时响应能力。
实时图像处理技术需要在规定的时间内完成对图像的处理,并输出结果。
为了提高实时性,可以采用多线程、并行处理、硬件加速等方法。
多线程技术将图像处理任务划分成多个子任务,由多个线程同时处理,提高了处理效率。
并行处理技术通过使用多个处理器或多个计算机同时处理图像,从而提高了图像处理的速度。
硬件加速技术则是利用专用的硬件设备进行图像处理,例如使用GPU进行图像加速处理,可以极大地提高系统的实时性。
实时信号处理技术是对实时系统中的信号进行处理的技术。
实时信号处理技术广泛应用于通信、音频处理、视频编码等领域。
在通信领域,实时信号处理技术用于对传输中的信号进行压缩或解压缩处理,提高数据传输的速率和质量。
在音频处理领域,实时信号处理技术可以用于音频增强、音频降噪等处理,提升音频的音质。
在视频编码领域,实时信号处理技术可以对视频进行编码、解码,以实现视频的传输和播放。
基于快速傅里叶变换的实时语音信号处理算法优化

基于快速傅里叶变换的实时语音信号处理算法优化实时语音信号处理是在语音通信、音频处理和语音识别等领域中非常重要的一项技术。
其中,快速傅里叶变换(FFT)作为一种基本的数学工具,在实时语音信号处理中有着广泛的应用。
本文将讨论如何基于快速傅里叶变换进行实时语音信号处理算法的优化。
首先,我们来介绍一下快速傅里叶变换。
快速傅里叶变换是对离散信号进行频谱分析的一种快速算法,可以将时域信号转换为频域信号,用于分析信号的频率成分。
在实时语音信号处理中,常常需要对音频信号进行频谱分析,以获取声音的频率特征。
快速傅里叶变换通过减少计算量和提高计算速度,能够高效地对语音信号进行频谱分析。
在实际应用中,实时语音信号处理算法的优化至关重要。
对于实时系统而言,处理速度是一个关键指标。
为了提高处理速度,我们可以采取一些优化措施。
首先,对于快速傅里叶变换算法本身,我们可以选择更高效的实现方式。
快速傅里叶变换有多种实现方法,如基2算法(Cooley-Tukey算法)、基3算法(Sanders算法)以及基5算法等。
这些算法在不同情况下有着不同的计算复杂度和效率。
因此,在实时语音信号处理中,我们可以根据具体情况选择合适的实现方式,以提高算法的效率和速度。
其次,我们可以采用并行计算的方式来优化实时语音信号处理算法。
由于快速傅里叶变换具有很好的并行性,在现代计算机系统中,可以通过多线程、多核CPU或者GPU来进行并行计算,提高处理速度。
通过将计算任务划分为多个子任务,并利用并行计算的方式进行处理,可以大大减少处理时间,使实时语音信号处理算法更加高效。
此外,为了进一步提高实时语音信号处理算法的性能,我们还可以考虑使用硬件加速技术。
在一些高性能计算平台或者特定的硬件设备上,可以利用专用硬件加速器(如FPGA、ASIC等)来加速快速傅里叶变换的计算过程。
这样可以通过硬件加速技术提高算法的执行效率,使实时语音信号处理更加快速和可靠。
另外,为了保证实时语音信号处理算法的实时性,我们还需要考虑延迟问题。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
东北大学实时信号处理系统设计与实现作业信号与信息处理研究所2FIR滤波器设计 (1)2.1.原理 (1)2.2.建模 (2)2.3.仿真测试 (4)1 3.3.建模和求解 7FIR滤波器设计3.1. 原理3.2. 建模===============================查找表================================ LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_arith.ALL;ENTITY case3 ISPORT ( table_in : IN STD_LOGIC_VECTOR(2 DOWNTO 0);table_out : OUT INTEGER RANGE 0 TO 6);END case3;ARCHITECTURE LCs OF case3 ISBEGIN-- This is the DA CASE table for-- the 3 coefficients: 2, 3, 1-- automatically generated with dagen.exe -- DO NOT EDIT!PROCESS (table_in)BEGINCASE table_in ISWHEN "000" => table_out <= 0;WHEN "001" => table_out <= 2;WHEN "010" => table_out <= 3;WHEN "011" => table_out <= 5;WHEN "100" => table_out <= 1;WHEN "101" => table_out <= 3;WHEN "110" => table_out <= 4;WHEN "111" => table_out <= 6;WHEN OTHERS => table_out <= 0;END CASE;END PROCESS;END LCs;========================DA_FIR设计================================ LIBRARY ieee; -- Using predefined packagesUSE ieee.std_logic_1164.ALL;USE ieee.std_logic_arith.ALL;PACKAGE da_package IS -- User defined componentCOMPONENT case3PORT ( table_in : IN STD_LOGIC_VECTOR(2 DOWNTO 0);table_out : OUT INTEGER RANGE 0 TO 6);END COMPONENT;END da_package;LIBRARY work;USE work.da_package.ALL;LIBRARY ieee; -- Using predefined packagesUSE ieee.std_logic_1164.ALL;USE ieee.std_logic_arith.ALL;ENTITY dafsm IS ------> InterfacePORT (clk : IN STD_LOGIC;x_in0, x_in1, x_in2 :IN STD_LOGIC_VECTOR(2 DOWNTO 0);y : OUT INTEGER RANGE 0 TO 63);END dafsm;ARCHITECTURE flex OF dafsm ISTYPE STATE_TYPE IS (s0, s1);SIGNAL state : STATE_TYPE;SIGNAL x0, x1, x2, table_in: STD_LOGIC_VECTOR(2 DOWNTO 0);SIGNAL table_out : INTEGER RANGE 0 TO 7;BEGINtable_in(0) <= x0(0);table_in(1) <= x1(0);table_in(2) <= x2(0);PROCESS------> DA in behavioral styleV ARIABLE p : INTEGER RANGE 0 TO 63;-- temp. registerV ARIABLE count : INTEGER RANGE 0 TO 3; -- counts shiftsBEGINWAIT UNTIL clk = '1';CASE state ISWHEN s0 =>-- Initialization stepstate <= s1;count := 0;p := 0;x0 <= x_in0;x1 <= x_in1;x2 <= x_in2;WHEN s1 => -- Processing stepIF count = 3 THEN -- Is sum of product done ?y <= p; -- Output of result to y andstate <= s0; -- start next sum of productELSEp := p / 2 + table_out * 4;x0(0) <= x0(1);x0(1) <= x0(2);x1(0) <= x1(1);x1(1) <= x1(2);x2(0) <= x2(1);x2(1) <= x2(2);count := count + 1;state <= s1;END IF;END CASE;END PROCESS;LC_Table0: case3PORT MAP(table_in => table_in, table_out => table_out);END flex;3.3. 仿真测试仿真结果图:在第五个clk,输出正确为183 设计作业 ........................................................ 6 3.1. 题目和要求 .. (6)3.2. 问题重述 6 2设计作业 3.4.题目和要求3.5. 问题重述Hartley 已经引入了一种通过采用公共子表达式交叉系数实现常系数滤波器的概念,例如:滤波器y[n]=∑-=10k k]-a[k]x[n L (3.19)其中,3个系数a[k]={480,-302,31}。
3个系数的CSD 码如下:从表中可以注意到,结构出现了4次。
如果构造一个临时变量h[n]=2x[n]-x[n-1]就可以用y[n]=256h[n]-16h[n]-32h[n-1]+h[n-1] (3.20)计算滤波器的输出。
3.6. 建模和求解(a)代入h[n]=2x[n]-x[n-1验证(3.20)。
答:y[n] =a[0]x[n]+a[1]x[n-1]+a[2]x[n-2]=(512-32)x[n] +(-256-64 + 16 + 2)x[n-1]+(32-1)x[n-2]Or =256(2x[n]-x[n-1])+(-1)32(2x[n-1]-x[n-2])+ (-1)16(2x[n]-x[n-1])+ (2x[n-1]-x[n-2]) =256h[n]-16h[n]-32h[n-1]+h[n-1] (3.20)故验证(3.20)成立(b)得到的(3.19)的直接CSD实现和字表达式共享的实现分别需要多少个加法器?答:对比1问中的实现数学表达式可以知道:直接CSD 7个加法器;使用子表达式共享只需要1+3=4个加法器(c1)用Quartus II 实现8位输入的子表达式共享滤波器。
答:通过前两问的分析可以知道,只需要将普通的CSD码的滤波器进行h[n]=2x[n]-x[n-1]这样的子模块变换,就可以简化系统结构。
a[k]={480,-302,31}1、普通的CSD搭建的滤波器module Hartley(clk,x_in,out);input clk;input [7:0] x_in;output reg [17:0] out;//reg [17:0] temp2,temp1,temp0;// [2:0];//暂存reg [17:0] temp[2:0];//暂存//always@(x_in)//begin// temp[0]=x_in;//endalways@(posedge clk)//需不需要把x_in作为敏感变量?begin/*temp2=temp1;temp1=temp0;temp0=x_in;y[n]=a[0]x[n]+a[1]x[n-1]+a[2]x[n-2]=(512-32)x[n] +(-256-64)x[n-1]+(32-1)x[n-2]//out<= temp[2]<<9-temp[2]<<5 - temp[1]<<8 -temp[1]<<6 + temp[0]<<5-temp[0];out= temp[2]*512-temp[2]*32 - temp[1]*256 -temp[1]*64 + temp[0]*32-temp[0];*/temp[2]<=temp[1];temp[1]<=temp[0];temp[0]<=x_in;out= temp[2]*512-temp[2]*32 - temp[1]*256 -temp[1]*64 + temp[0]*32-temp[0];//out<= temp[2]<<9-temp[2]<<5 - temp[1]<<8 -temp[1]<<6 + temp[0]<<5-temp[0];//out<=temp[2];endendmodule仿真480*151-302*37+31*147=65863480*37-302*147+31*59=-248052、使用子表达式共享的方式实现module Hartley_II(clk,x_in,out);input clk;input [7:0] x_in;output reg [19:0] out;reg [7:0] x_temp[1:0];//暂存reg [19:0] h_temp[1:0];//暂存always@(posedge clk)beginx_temp[1] <=x_temp[0];x_temp[0] <=x_in;h_temp[1] <=h_temp[0];h_temp[0] <=20'b0+x_temp[1]*2-x_temp[0];//转换//y[n]=256h[n]-16h[n]-32h[n-1]+h[n-1] (3.20)out<= h_temp[1]*256-h_temp[1]*16 - h_temp[0]*32 + h_temp[0];endendmodule验证成立结果同普通的CSD结果一致,输入为151、37、147后,输出为65863,验证成立。